Session 1: Recent advances in experimental studies of SiO2/Si.


Thin film dielectrics in Si microelectronics history and future

L. C. Feldman

Department of Physics and Astronomy

Vanderbilt University

Nashville, Tennessee, USA

The last half of the 20th Century is characterized by the information/communication revolution. In terms of materials, we are in the ìSilicon Age.î The workhorse of silicon technology is the metal-oxide-semiconductor-field-effect-transistor (MOSFET), a device that is remarkably integratable and wonderfully scaleable. Reduced scaling has given rise to higher density, improved speed and lower power consumption. Intrinsic to this great success has been the perfection and control of the Si/SiO2 interface, the ìheartî of the MOSFET. We can now foresee limits in silicon technology, some of which concern this critical dielectric. Current research focuses on the important heterostructure, its structure, growth and properties. This talk will overview the role of the dielectric interface in silicon technology and provide a description of current and future research directions. The understanding of this interface has been a challenge to chemists, physicists and materials scientists. Foremost among the research issues is a substitute dielectric. To date a suitable substitute has not been developed, although the silicon roadmap anticipates success in this area. A second issue receiving major attention is the role of hydrogen at the dielectric/substrate interface. Research in this area has taken a leap forward with the discovery of the hydrogen/deuterium effect. Such investigations will undoubtedly lead to new insights into the hot electron/degradation effects and new models for device reliability. The need and expectations for reliability improvement are intrinsically related to the role of nitrogen in the modified oxynitrides. Finally the exact structure of the interface continues to be an analytical challenge. Interfacial bonding, interfacial density, subsurface reconstruction, oxide crystallinity, and interfacial roughness are all issues that require continued investigation as devices continue to shrink. This talk will address some of these areas of investigation and place them in the context of the continuing silicon evolution.


Isotopic labeling NRA studies of the initial oxynitridation of Si and SiO2

J.-J. Ganem1, L.G. Gosset1, S. Rigo1 I. Trimaille1, I.J.R. Baumvol2, and F. C. Stedile3

1Groupe de Physique des Solides

Universités Paris 6 et 7

Paris, France

2Instituto de Fisica-UFRGS,

Porto Alegre, RS, Brazil

3Instituto de Quimica-UFRGS

Porto Alegre, RS, Brazil

Direct oxynitridation of Si(001) in nitric oxide (NO) is studied and compared to direct oxynitridation in nitrous oxide (N2O). The dielectric films were grown in an ultra high vacuum rapid thermal processing (RTP) furnace in static pressures of either natural NO (14N16O) and N2O (14N216O) or 15N-enriched N2O (15N216O) and 15N and 18O-enriched NO (15N18O). Total amounts of nitrogen and oxygen (at/cm2) and heavy isotope depth distributions were measured using non-resonant and resonant nuclear reaction analysis. The thicknesses of the oxynitrides formed in NO never exceeded 3 nanometers in our thermal treatments conditions. Moreover, the growth rates of these films are lower compared to N2O growth rates, due to the higher amount of nitrogen atoms fixed in the films. At 1050°C, for isochronal thermal treatments, the amounts of nitrogen fixed in the films decreases as the pressure P of NO increases (in the range 1 to 100 hPa) suggesting that nitrogen atoms may be fixed via a vacancy mechanism. The amount of nitrogen atoms was found to support a P-1/4 law, whereas in N2O the nitrogen amount varies as P1/2. For both NO and N2O oxynitridation, the areal densities of oxygen atoms are consistent with a P1/4 law. Sequential thermal treatments in 14N16O/15N18O were performed in combination with resonant nuclear reaction analysis in order to obtain additional information on atomic transport and isotopic exchange during oxynitride growth in NO. XPS for different incident angles was used as a complementary technique to provide information on bonding structures and their distributions. XPS results on the analyzed samples show no evidence of N-O bonds. Furthermore, XPS indicates a lower concentration of nitrogen near the external surface of the dielectric film, in agreement with resonant nuclear reactions analysis.

RTP oxynitridation of thin SiO2 films grown on Si(001) is also studied. The oxynitridations of SiO2 were performed in NO, for various durations. Both natural and enriched gases were used. The nuclear reactions techniques mentioned above were employed to analyze the dielectric films. The results are discussed in terms of atomic depth profiles, and growth mechanisms.


Medium energy ion scattering studies of silicon oxidation and oxynitridation

E. P. Gusev, E. Garfunkel, H. C. Lu and T. Gustafsson

Departments of Chemistry and of Physics and Astronomy,

Rutgers University

Piscataway, NJ USA

We will present results of some of our recent high resolution medium energy ion scattering (MEIS) experiments on mechanistic and structural aspects of ultrathin (<5 nm) dielectric films (oxides, SiO2, and oxynitrides, SiOxNy). MEIS is a high-resolution, low energy version of conventional Rutherford Backscattering Spectroscopy with subnanometer depth resolution in the near surface region. Accurate depth distributions of target elements of interest (Si, 18O, 16O, and N) can be deduced from simulations of ion energy distributions. The use of sequential exposure to different oxygen isotopes allows us to investigate the temporal dependence of the oxidation. We discuss: (i) the growth mechanism of ultrathin films using isotopic (16O2/18O2) labeling methods, (ii) the transition region near the oxide/substrate interface, and (iii) silicon oxynitridation in N2O and NO.

Our data strongly support models in which the oxidation reaction takes place in two spatially distinct regions, at the surface (an exchange reaction) and in an extended region near the substrate, demonstrating that any model of silicon oxidation as a reaction occurring at a well-defined geometrical plane does not apply to ultrathin films. The pressure and time dependence of the two reactions are drastically different. We will also discuss the influence of impurity atoms on the surface reaction.

We will present results from nitridation experiments using N2O and NO, which show that nitridation in NO gives higher N content and slower overall growth, with no significant nitrogen at the substrate side of the interface when using either nitriding agent. We have also performed studies with sequential exposures in an attempt to investigate the mechanism of nitrogen removal. The results clearly show that NO does not efficiently remove N from the oxynitride, while atomic oxygen remains a possible and likely candidate for such removal.


The interpretation of XPS spectra from Si/SiO2 interfaces

F. R. McFeely

IBM TJ Watson Research Center

Yorktown Heights, NY, USA

The structure and reactivity of the Si(100)/SiO2 interface has been a subject of continuing interest and controversy over the past few years. While a variety of experimental methods have been brought to bear on these problems, high resolution x-ray photoemission studies of the 2p core level binding energies of the interfacial atoms have played a particularly important role. This is because this technique offered the promise of a simple and reliable method to interpret the structure of the silicon-oxygen network at the interface. Prior to 1992 it was universally held that in the spectra of Si/SiO2 interfaces, Si 2p core level peaks exhibiting chemical shifts of of 0.9, 1.8, and 2.5 eV arose from interfacial Si atoms bound to one, two and three oxygen atoms, respectively. Moreover this was held to be a complete description of the emitting atoms in that no details of the local structure were held to have any significant effect on the binding energy. In addition to the study of the local bonding at Si/SiO2 interfaces, it has also been argued that the linewidth and evolution in binding energy with thickness of the Si 2p peak in the fully formed SiO2 in ultrathin oxides are indicative of special physical properties which make these oxides distinct from true bulk oxides. In particular they have been taken to be manifestations of differences in ring statistics and lattice strain.

Recently, however, new measurements have been made of Si 2p core level shifts for a class of cluster-derived Si/SiO2 interfaces. These interfaces, formed by the low temperature attachment of cluster molecules with pre-formed Si-O bonds constituted the first class of Si/SiO2 interfaces for which the local structure could legitimately claimed to be a-priori known. This permitted the first examination of the assignment rules for the Si 2p core level chemical shifts which was not intimately entangled with the problem of structure determination. In this paper, we shall discuss the consequences of these experiments for the assignment of interface spectra and for the attribution of special oxide properties to ultrathin oxides on the basis of their xps spectra. We shall argue on the basis of our results that the simple formal oxidation state model for the interpretation of xps spectra is inadequate, and that claims to infer "special properties" of ultrathin oxide layers from the linewidth and/or binding energy of the SiO2 bulk peak are invalid.


Stress in SiO2/Si structures formed by thermal oxidation

A. Szekeres

Institute of Solid State Physics

Sofia, Bulgaria,

The trend to miniaturization of elements in Si-based devices has lead to a drastic reduction of the thickness of SiO2 films up to a few tens of nanometers. Further reduction of oxide thickness, however, creates serious problems connected with device reliability. One of the problems is related to the high mechanical stresses induced in SiO2 films during oxidation. Thermally grown oxides are generally in a state of compressive stress, the magnitude of which is mainly determined by the conditions of Si oxidation. This stress arises unavoidably due to the lattice mismatch between the oxide and the Si substrate, causing a volume expansion during oxidation. The temperature changes after oxidation induce additional stress in SiO2/Si structures due to a difference in the thermal coefficients of SiO2 and Si substrate. The knowledge of structural strains and their eventual reduction and control gains growing technological importance, especially for ultrathin SiO2 films, where the oxidation induced stress may deteriorate the device characteristics.

The present paper reviews recent results concerning the stress behavior in thin SiO2/Si structures formed by thermal oxidation of Si(111) and Si(100) substrates, demonstrating the influence of process conditions, such as oxidation temperature and oxidizing ambient, on the generation of structural strains in the oxide at the SiO2/Si interface, and in the Si substrate near the interface. The role of hydrogenous species, intentionally introduced in the oxides either during growth or prior and after oxidation, on the magnitude of stress and its gradient towards to SiO2/Si interface is discussed. The interrelation between stress and oxide (and interface) traps is considered.



 

Session 2: - Theory of the SiO2/Si and SiOxNy/Si systems


Modelling the oxide and the oxidation process: Can silicon oxidation be solved?

A M Stoneham

Department of Physics and Astronomy

University College

London, UK

Carl Sofield

AEA Technology

Harwell Laboratory, UK

The move to miniaturization and hence to thinner oxides (or successor materials), with the increased power of modeling, and with new information from techniques like scanning probe methods, suggest it might be timely to face a major challenge. Is it possible to define what the best oxide would be like, to estimate its performance (especially its failure), and to predict the conditions which should lead to that optimum oxide? Clearly, for this to be achieved, it is essential to understand the key processes and to make accurate (but not necessarily first principles) quantitative predictions, as well as chosen experiments. This paper discusses some of the key ideas: those of the growth processes, like the basic mechanisms (not Deal-Grove in character) and how layer by layer growth can be compatible with growth at terraces, and those of the degradation processes, like charge localization and energy localization.


Nitrogen incorporation at Si(001)-SiO2 interfaces: relation between N 1s core-level shifts and microscopic structure

A. Pasquarello*

Institut Romand de Recherche Numerique en Physique des Materiaux (IRRMA), Lausanne, and Department of Condensed Matter Physics, University of Geneva

Geneva, Switzerland.

The purpose of this work is to characterize N atoms incorporated at the Si(001)-SiO2 interface by establishing a correspondence between their bonding environment and the N 1s core-level shifts measured in photoemission experiments. Several model interfaces containing N atoms in different bonding configurations and at varying distances from the interface plane are considered. The nitrided structures were generated using, as a starting point, a previously obtained model of the Si(001)-SiO2 interface [1]. Using a first-principles approach, the atomic positions were relaxed and the N 1s core-level shifts calculated. We attribute the principal XPS peak to N-Si3 configurations and explain the appearance of two components in terms of core-hole relaxation and second nearest neighbor effects. Core-hole relaxation affects N 1s shifts differently according to the distance of the N atoms to the screening Si substrate. The shifts induced by second nearest neighbor O and N atoms are opposite, giving rise to a dependence on N concentration. Both effects concur to give larger binding energies in the oxide than at the interface, in accord with experimental observations [2,3]. We also investigated configurations in which the N atom is bonded to O atoms. We found N 1s shifts for O-N-Si2 configurations at Æ=1.5 eV, in a region of the spectrum where generally no peaks are observed in experiments. This suggests that these configurations are essentially absent at nitrided Si(001)-SiO2 interfaces.

* Work performed in collaboration with G.-M. Rignanese, J.-C. Charlier, X. Gonze, and R. Car.

[1] A. Pasquarello, M.S. Hybertsen, and R. Car, Phys. Rev. Lett. 74, 1024 (1995); Phys. Rev. B 53, 10942 (1996).

[2] Z.H. Lu, S.P. Tay, R. Cao, and P. Pianetta, Appl. Phys. Lett. 67, 2836 (1995).

[3] S.R. Kaluri and D.W. Hess, Appl. Phys. Lett. 69, 1053 (1996).


A simple model of the chemical nature of bonds at the silicon-silicon dioxide interface and its influence on the electronic properties of MOS devices

Hisham Z. Massoud

Semiconductor Research Laboratory

Department of Electrical and Computer Engineering

Duke University, Durham, North Carolina 27708, USA.

There are several chemical and quantum-mechnical mechanisms that establish electric dipoles at the interfaces of silicon dioxide and in its bulk. For example, barrier-penetration dipoles (BPD) are present whenever mobile carriers quantum-mechanically penetrate a finite energy barrier leaving behind a charge of the opposite sign. Partial-charge-transfer dipoles (PCTD) are present in chemical bonds whenever two chemical species of dissimilar electronegativities form a bond. This paper focuses on the role played by PCT dipoles in the electrical properties and parameters of silicon field-effect devices. A first-order model to calculate the magnitude of the dipole moment at the silicon-silicon dioxide interface is presented.

In metal-oxide-semiconductor (MOS) devices, species with different electronegativities bond across the oxide interfaces resulting in the partial transfer of charges in such bonds and the formation of relatively uniform dipole layers. In this model, we calculate the magnitude of the dipole moment at the silicon/oxide interface based on the principle of electronegativity equalization, and results indicate that the interface dipole moment is orientation- and chemistry-dependent. Dipole moments contribute to the flatband voltage and workfunction difference of MOS devices. Capacitance-voltage measurements of the workfunction difference on different orientations of silicon oxidized in dry oxygen and metallized with Al agree well in magnitude and sign with the predictions of this model. Process-induced effects on the magnitude of the interface dipole moment and its influence on the oxide-fixed charge density and the distribution of interface traps across the silicon bandgap are in agreement with the predictions of this model. A simple example is the dependence of the oxide fixed charge on annealing time in nitrogen vs argon, where it is observed that annealing in nitrogen results in an initial annealing followed by a gradual increase for long anneal times. This is explained by the replacement of silicon-oxygen bonds by silicon-nitrogen bonds at the interface.

This physically based model provides a quantitative framework to determine the processing dependence of interface charges and traps at the silicon/oxide interface. It also lends itself for further including the dipole moments of charge transfer that takes place at the metal-oxide interface and in bonds away from both interfaces.


Chemical perspectives on growth and properties of ultrathin SiO2 layers

G. F. Cerofolini

SGS-THOMSON Microelectronics

Agrate MI, Italy

What we know of silicon oxidation kinetics still rests on the Deal--Grove model according to which silicon oxidation in the linear regime is a thermally activated process limited by the homolytic cleavage of Si-Si bonds at the Si- SiO2 interface. This conclusion was based on the independence of the activation energy for this process (2.0 eV) on the oxidizing agent (wet or dry) and of its coincidence with the Si-Si bond energy. In recent years, however, the Si-Si bond energy has been determined with ever increasing accuracy and has been set, both experimentally and theoretically, to around 3.2 eV. This conclusion rules out the Deal--Grove mechanism.

In this work a different model is proposed. It is based on the assumption that the rate-determining step for silicon oxidation is the heterolytic cleavage of interface Si-Si bonds. The high energy required for this process, around 10 eV, is partially provided by the formation of Lewis adducts between the silicon cation and siloxanic oxygen or water at the interface. This model has long range implications for silicon oxidation kinetics and on the nature and distribution of interface defects. The same model is also useful to account for the layer-by-layer kinetics observed for room-temperature oxidation of single crystalline silicon in wet air.


A theoretical model of the Si/SiO2 interface

A. Markovits and C. Minot

Universite de Paris - VI,

Paris, France

We present preliminary quantum chemical results of calculations for the Si(100)/SiO2 interface. The interface is modelled by the superposition of three slabs: 1) four layers of silicon crystal to represent the silicon part; the bottom layer is saturated by hydrogen atoms whereas the top layer is at the interface; 2) a layer of oxygen atoms ìadsorbedî on this top silicon layer; the interface may thus be primarily viewed as an oxidative adsorption of dioxygen molecules. Reconstruction at saturation is weak. The oxidation of the silicon atoms under oxygen adsorption leads to Si atoms that have different oxidation numbers, in agreement with XPS results. 3) a few layers of silica added epitaxially; the silica disorts to adapt to the geometry of the silicon crystal beneath. Only half of the oxygen layer is covered by Si4+ ions of the silica. The interface model that results is close to that proposed by Ohdomari but differs by fine geometric details and does not proceed from the same construction.



 

Session 3: Growth mechanism, processing, and analysis of (oxy)nitridation


Spatially-selective incorporation of bonded-nitrogen into ultra-thin gate dielectrics by low-temperature plasma-assisted processing

G. Lucovsky

Departments of Physics, Electrical and Computer Eng., and Materials Science and Eng.

North Carolina State University

Raleigh, NC USA

The incorporation of bonded nitrogen atoms (N-atoms) into gate dielectrics has been demonstrated to have several beneficial effects: i) it reduces defect generation at the Si-SiO2 interface when incorporated at monolayer concentrations; ii) it allows for the use of physically-thicker oxide-equivalent gate dielectrics when incorporated into the body of the dielectric film as in oxide-nitride-oxide, ONO, oxide-nitride, NO, or O-oxynitride-O composite structures; and iii) it reduces boron penetration from heavily p-type polycrystalline silicon gate electrodes through the dielectric films when incorporated at the interface between the gate dielectric and the polysilicon gate electrode, or in the body of the dielectric film. This paper presents the results of an experimental program at North Carolina State University that has successfully demonstrated separate and independent control of N-atom incorporation into different parts of ultra-thin gate dielectrics through the combined use of low-temperature plasma-assisted processing at 300 °C, and low-thermal-budget rapid thermal annealing, typically for 30 s at 900 °C [1-6]. Starting at the Si-SiO2 interfaces, monolayer N-atom concentrations have been incorporated at this interface using two different approaches: i) remote plasma-assisted oxidation (RPAO) with N2O as both the N-atom source gas, and the O-atom source gas for oxide growth [1], and ii) by first forming 0.5 to 0.6 nm of SiO2 by a RPAO using O2 as the O-atom source, followed by incorporation of N-atoms at the interface by a post-oxidation exposure to species extracted from a remote N2/He plasma [2]. N-atoms have been incorporated in the body of the dielectric film, in ONO, O-oxynitride-O and ON structures by forming bulk oxynitride and nitride films by remote plasma enhanced chemical-vapor deposition (RPECVD) [3,4]. In a very recent development, ON structures with a physical thickness of ~2.6 nm and an oxide equivalent thickness of ~ 1.8 nm have been incorporated into FET devices; these devices display current-voltage characteristics essentially the same as FETs with ~1.8 nm oxide dielectrics [5]. Top surface nitridation of oxide dielectrics has been accomplished by subjecting the top surface of these dielectric films to a post-oxidation/deposition remote plasma nitridation process [6]. For each of these different N-atom incorporation processes the following points will be discussed: i) the chemical and structural characterizations that establish the targeted N-atom incorporation, ii) the reaction pathways leading the N-incorporation, and iii) the effects of the selective N-atom incorporation on device performance and reliability. Finally, and where appropriate, reaction pathways for plasma-assisted N-atom incorporation will be contrasted with reaction pathways for more conventional thermal processes.

Supported by the NSF Engineering Research Center (ERC) for Advanced Electronic Materials Processing (AEMP), the Office of Naval Research (ONR), and the Semiconductor Research Corporation (SRC). The author acknowledges contributions of his former and present graduate students and post doctoral fellows: B. Chaflin, S. Hattangady, B. Hinds, K. Koh, Z. Lu, Y. Ma, H. Niimi, P. Santos-Filho, and T. Yasuda.

1. D.R. Lee, et al., J. Vac. Sci. Technol. A 13, 607 (1995); J. Vac. Sci. Technol. B 13, 1778 (1995).

2. H. Niimi and G. Lucovsky, unpublished.

3. Y. Ma, T. Yasuda, and G. Lucovsky, Appl. Phys. Lett. 64, 17, 2226, (1994).

4. Y. Ma, Y and G. Lucovsky, J. Vac. Sci. Technol. B12, 2504 (1994).

5. C. Parker and J.R. Hauser, unpublished.

6. S.V. Hattangady, H. Niimi, and G. Lucovsky, Appl. Phys. Lett., 66, 3495 (1995).


Thermal routes to ultrathin oxynitrides

M. L. Green

Bell Laboratories/Lucent Technologies

Murray Hill, New Jersey USA

Ultrathin (< 6 nm) silicon oxynitrides are desirable as gate dielectrics for present and future ultra-large-scaled-integrated (ULSI) circuits due to their improved reliability and boron penetration resistance compared to pure SiO2. Although many nitridation chemistries, and a variety of energetic processes (i.e., thermal, plasma, etc.) have been used to deposit these oxynitrides, thermal growth in N2O and NO have emerged as two of the most promising routes to highly reliable and uniform ultrathin dielectrics. This paper will explore the concept of nitrogen (N) engineering, i.e., tailoring the N distribution and total concentration in the dielectric to optimize its electrical performance. Thermal processing using O2, N2O and NO in various sequences can result in different N profiles. One factor that greatly affects the N profiles is the thermodynamic stability of N in SiO2, as influenced by the oxidation potential during growth. In this paper, it will be argued that during growth in any of the above oxidizing ambients, N is not stable in SiO2, at least from the standpoint of bulk thermodynamics. However, it is well known that N does incorporate into the SiO2; it will be demonstrated that the degree to which it is metastable depends upon its position in the film, and the processing gases to which it was exposed during growth. Specifically, N appears to be more stable at the Si/SiO2 interface than in the SiO2 bulk. Further, when in the bulk, N is stable with respect to O2 and NO exposure, but unstable to N2O. This is probably due to the formation of atomic oxygen as a result of N2O decomposition, which readily replaces N incorporated in the SiO2 bulk. Examples of silicon oxynitrides grown under conditions much closer to thermodynamic equilibrium (i.e., under extremely low oxidation potentials) will be discussed. Finally, examples of 4 nm dielectrics with tailored N profiles will be shown.


Endurance of EEPROM-cells using ultrathin NO and NH3 nitrided tunnel oxides

A. Mattheus, A. Gschwandtner, G. Innertsberger, A. Grassl, A. Talg

Univ. Munich and Siemens, Germany

This paper describes the properties of NO and NH3 nitrided tunnel oxides (TOX) which were produced in a rapid thermal processing system (RTP) for FLOTOX-EEPROM applications. Our investigations are focused on the influence of an annealing step after 10 million cycles applied on the EEPROM cell. This anneal shows an increasing healing effect of the damaged cells for increased temperatures. This effect, depending strongly on time and temperature, leads to a characterization of the traps in the oxide. After FN-stress (-1C/cm2) the I(V)-characteristics of the oxides indicate considerably high degradation especially of thin oxides. Time of flight (TOF)-SIMS was applied for high resolution nitrogen depth profiling. The influence of the nitrogen content on the oxide growth is described in detail.


Nitrogen in ultra thin silicon dielectrics

H.B.Harrison, H. Li, S.Dimitrijev and P.Tanner

Griffith University

Nathan, Queensland, Australia

Gas phase growth or annealing of pre-grown oxides in nitrous oxide(N2O) produces desirable dielectric layer properties. However since this gas is really a dilute form of nitric oxide(NO) it could be expected that this gas would give such properties as well. In this paper we consider the use of NO as both a growth environment and as an annealing gas. We note that unlike N2O, NO has some unique properties that may be useful for future generation integration. Its as grown self limiting growth properties for example may be helpful in layer thickness control. However we also see that the NO can have deleterious effects through the introduction of too much nitrogen at the interface transition layer. The paper explores both the physical and electrical properties of as grown and annealed layers exposed to NO.

The properties of dielectrics so formed on silicon are explored and related to actual fine geometry MOSFET structures. We briefly consider the use of these gases for annealing on the wide-band gap material silicon carbide and how in particular NO can provide significant improvements in dielectric properties.


Short order, electronic structure and defects in silicon nitride and oxinitride

V. A. Gritsenko

Institute of Semiconductor Physics, Siberian Branch of Russian Academy of Sciences

Novosibirsk, Russia

The physical properties of amorphous silicon oxynitride (a-SiOxNy) can be gradually changed from SiO2 to Si3N4. For instance, barriers at the interface with silicon are in the range from 3.1eV to 2.0 eV for electrons and from 3.8 eV to 1.5 eV for holes, respectively. The gap changes from 8.0 eV in SiO2 to 4.5 eV in Si3N4. The structure of the ideal a-SiOxNy is described by a random bonding model. The unit cell is SiOmN4-m tetrahedron in which m = 0,1,2,3,4. The short range order is governed by the Mott rule: i.e. coordination number = 8-n (n-number of valence electrons). According to this rule, the Si atom has four O and/or N atoms bonded to it, N has three Si and O atoms bonded to it, while O is bound to two atoms. The defects in a-SiOxNy are related to a deviation from this rule. According to the experiment and simulations, the Si-O and Si-N bonding states in a-SiOxNy are created by Si3s, 3p, 3d and O2p, 2s and N2p, 2s levels. Near the valence band top there are localized non-bonding N2p and O2p orbitals. In a-Si3N4, neutral diamagnetic Si-Si bonds are strongly assumed to be traps for electrons and holes. The giant cross-section for capturing is related to the delocalized character of the antibonding and bonding orbitals of the Si-Si bond in Si3N4. From the luminescense spectra the next intrinsic defects (early observed in SiO2 and Si3N4) was obtained in a-SiOxNy of different composition: the non-bridging oxygen atom SiO* with luminescence energy E=1.9 eV; the diamagnetic sililene center (two coordinated Si atom with two nonpared electrons Si:) with the E= 2.7eV; the N3Si-SiN3 bond with E=3.2 eV and 3.6 eV; the peroxine radical SiO-O* with E=5.4 eV. The luminescense peak with energy 4.4-4.6 eV can be related to O3Si-SiO3 and/or N3Si-SiN3 defects. The role or these defects as traps in nitrided oxides are discussed. The nature of positively charged traps on the top surface of reoxided nitrided oxide is assumed to be related to Si-Si bonds. These defects are created during reoxidation of SiOxNy by replacing of N atom by an O atom according to the reaction 2Si3N+O2 Æ 2Si2O + Si-Si + N2. The Si-Si bond creation during nitride oxidation is governed by the Mott rule. The positive charge is created by hole capturing at the neutral Si-Si bond: Si-Si + h = Si*+Si. The nature of electron traps in nitrided oxides is also discussed.


The effects of surface deposition of nitrogen on the oxidation of silicon

T.D.M. Salgado1, I.J.R. Baumvol2, F.C. Stedile1, C. Radtke1, C. Krug1

1) Instituto de Química and 2) Instituto de Física

Porto Alegre - RS Brasil

We have studied the influence of surface deposition of N on the oxidation of Si(100) in dry O2. This problem has been addressed before by Trimaille et al. [1] who have implanted 30 keV N ions through a 20 nm thick SiO2 film, and continued the thermal oxidation afterwards. In the present work the deposition of N was performed by ion implantation on the Si(100) surface at very low energy (approximately 20 eV), at fluences of 1 - 10 x 1014 cm-2. The oxidations were performed in O2 at 1000 oC, under 50 mbar, and during time intervals between 15 and 120 min. In order to allow for high resolution depth profiling, the implanted isotope was 15N, and the the oxidations were mostly performed in 97% 18O-enriched O2. The areal concentrations of 15N (before and after oxidation), and of 18O were determined by nuclear reaction analysis, while the 15N and 18O profiles were determined by means of low energy nuclear resonance profiling. The results show that: i) the retained amounts of 15N just after ion beam deposition stayed in the range 3 x 1013 - 7 x 1014 cm-2; ii) the oxide growth rate is strongly influenced by the presence of nitrogen, decreasing with the increase of the areal concentration of nitrogen; iii) before oxidation, the implanted 15N atoms are situated in the Si layers very close to the outer surface; and iv) after oxidation, 15N is distributed within the oxide film, with a maximum near the oxide surface, which is a desired feature [2]. Further studies on isotopic tracing of oxygen and silicon during thermal growth of silicon oxide on Si ion beam deposited with N are in progress and will be reported.

[1] I. Trimaille, S.I. Raider, J.-J. Ganem, S. Rigo, and N.A. Penebre, in ìThe Physics and Chemistry of SiO2 and the Si- SiO2 Interface 2î, Eds. C.R. Helms and B.E. Deal, Plenum Press, New York, 1993, page 7.

[2] K.A. Ellis and R.A. Buhrman, Appl. Phys. Lett. 69 (1996) 535.



 

Session 5: Initial oxidation and surface science issues


Surface, interface and valence band structures of ultrathin silicon oxides

Takeo Hattori

Musashi Institute of Technology

Tokyo, Japan

1 Gbit DRAM, which will be commercially available at the beginning of the next century, requires MOSFETs with 5 nm-thick gate oxides. Furthermore, in a few years the high speed of logic LSI will require MOSFETs with 2.5 nm-thick gate oxides. Therefore, it is now necessary to control the formation of ultra-thin silicon oxides on an atomic scale. For this purpose the basic understanding of the physics and chemistry of ultra-thin silicon oxides is necessary. However, the chemical structures of ultra-thin silicon oxide/silicon interface have not been clarified yet.1) In the present paper, studies on the correlation between surface and interface structures of ultra-thin silicon oxides, the lateral size of the atomically flat interface, and the effect of interface structures on the valence band structures of ultrathin silicon oxides will be reviewed.

The periodic change in bonding configuration along <111> direction results in a periodic change in the interface structure with the progress of oxidation.2) Namely, the Si1+ interface, which consists of Si bonded with one oxygen atom and three silicon atoms, and the Si3+ interface, which consists of Si bonded with three oxygen atoms and one silicon atom, appears alternatively with progress of oxidation.2) The surface microroughness changes periodically with the progress of oxidation in accordance with the periodic changes in the amount of Si1+ at the interface.3) In other words, the changes in interface structure can be detected as changes in surface microroughness.3) Here, the surface microroughness was measured using noncontact-mode atomic force microscope (NC-AFM), while the interface structure was measured using high resolution X-ray photoelectron spectroscopy (XPS). The lateral size of an atomically flat oxidized region on the Si(111) surface was found to be in the range of 3 to 6 nm at an average oxide film thickness of 0.7 nm by measuring the effect of terrace width on the interface structure. This lateral size was found to agree roughly with the size of protrusions observed by NC-AFM. Two kinds of effects of interface structure on the valence band structures were found. Firstly, the valence band of silicon oxide within 0.9 nm, (which must be equal to the thickness of structural transition layer), of the interface is different from that of bulk silicon oxide. Secondly, the valence band discontinuity at the interface is affected by the interface structure. The surface, the interface, and the valence band structures of ultra-thin oxides formed on Si(100) will be also discussed.

References

1) T. Hattori, Critical Rev. Solid State Mat. Sci. 204 (1995) 339.

2) K. Ohishi and T. Hattori, Jpn. J. Appl. Phys. 33 (1994) L675.

3) M. Ohashi and T. Hattori, Jpn. J. Appl. Phys. 36 (1997) L397.

4) A. Omura, H. Sekikawa and T. Hattori, Appl. Surf. Sci. 117/118 (1997) 127.

5) H. Nohira and T. Hattori, Appl. Surf. Sci. 117/118 (1997) 119.


Ultrathin dielectrics on silicon and silicon carbide
surfaces: from the atomic scale to interface formation

P. G. Soukiassian *

Commissariat a l'Energie Atomique, Saclay and Universite de Paris-Sud

Orsay, France

Oxides, nitrides and oxynitrides are among the most important passivation layers of semiconductor surfaces. They are generally grown through molecular (O2, H2O, N2, NH3, N2O or NO) interaction with the surface. The reaction could be promoted by surface electronic modification using e.g. a catalyst, by elevated temperatures, by photo-reaction, or by surface structure modification. The latest developments in low temperature dielectric growth on representative silicon carbide and silicon surfaces will be presented. These studies are based on photoelectron spectroscopy using synchrotron radiation (SRC-Madison, ALS-Berkeley), atom-resolved scanning tunneling microscopy and spectroscopy, and numerical simulations. The main issues include mode of growth, nano-mechanisms of reaction, initial atomic scale interaction, interface structure and formation.

Unmonochromatized synchrotron radiation white-light favors interaction between molecules and silicon surfaces resulting in room temperature nitridation and oxynitridation. In the latter case, such a photoreaction results in oxygen-rich oxynitride formation, unlike thermal annealings which favor a nitrogen rich stoichiometry. Alkali metals promote oxidation, nitridation and oxynitridation of silicon with high oxidation (nitridation) state products. Low temperature annealings result in the removal of the catalyst leading to the formation of SiO2/Si(100), Si3N4/Si(100) or SiOxNy/Si(100) interfaces at much lower temperature than with other thermal processes. Oxidation of the cubic 3C-SiC(100) surface is shown to be very much dependent of surface structure and composition. The "very open" Si-rich 3C-SiC(100)3x2 reconstruction is especially active to direct oxygen exposures leading to the formation of abrupt SiO2/3C-SiC(100) interfaces at low temperatures (300 K to 800 K). All the above processes result in the growth of ultra-thin dielectrics (< 50 Å) that are obtained at low temperatures. At the atomic scale, oxygen adsorption on the 3C-SiC(100)3x2 surface is initiated at specific defect sites subsequently resulting, nearby, in similar additional defects that are active upon further oxygen deposition. Such a local process spreads over the surface as an expanding cluster patchwork of oxidized sites, through a self propagating nano-mechanism that is further substantiated by numerical simulations.

*Also at: Northern Illinois University, DeKalb, U.S.A.


Interaction of N2O and O2 with Si during the early stages of oxide formation

Alexander A. Shklyaev 1

Joint Research Center for Atom Technology (JRCAT) and Angstrom Technology Partnership (ATP)

Tsukuba, Ibaraki Japan

At elevated temperatures the interaction of O2 and N2O with silicon is characterized by the following reactions: adsorption and desorption from the intermediate adsorbed state, dissociation of adsorbed molecules leading to Si-etching with volatile SiO production, stable oxide formation proceeding with the interaction in the layer of intermediate adsorbed species. In these reactions, N2O and O2 show similar behavior. The competition between the reactions results in the existence of so-called critical conditions describing the temperature dependence of the critical gas pressure Ptr: if the gas pressure Pox is kept below Ptr, then gas phase etching of the silicon surface takes place and the surface remains clean, whereas if Pox>Ptr, stable oxide clusters form on the surface with simultaneous Si-etching between those clusters until the whole surface is covered with oxide. Optical methods such as ellipsometry and optical second-harmonic generation are very sensitive to the early stage of oxide formation and provide us with the integral characteristics of the process. We consider the extended precursor model which is able to describe the temperature dependence of the initial reactive sticking coefficient. Kinetic parameters of the reactions in this model were obtained by fits to the experimental data. In order to describe the pressure dependence of the initial oxide growth rate, a model of oxide cluster nucleation and growth is considered. This model allows us to describe the oxide formation at pressures around and above Ptr from the viewpoint of a size of oxide clusters.

Silicon surfaces partly covered with oxide might be prepared at pressures near Ptr; such surfaces can be used as a substrate for selective growth. It has been found that the initial stage of oxide formation requires an oxygen pressure well above that required for oxide growth. This feature may be employed for Si-etching in nanoscale open-windows with ultrathin SiO2 used as a mask.

1 On leave from Institute of Semiconductor Physics, Novosibirsk, Russia


Scanning tunneling microscopy on oxide and oxynitride formation,
growth and etching of Si surfaces

H. Neddermeyer

Fachbereich Physik

Martin-Luther-Universitaet Halle-Wittenberg

Halle, Germany

An overview is given of scanning tunneling microscopy (STM) studies of the interaction of O2, NO, N2O and NO2 with Si(100)2x1 and Si(111)7x7. The initial stages of adsorption and reaction have been measured in real time which allows the observation of atomic processes in detail. The results show a large variety of individual effects which in some cases follow characteristic reaction paths. The assignment of the individual adsorption and reaction events to individual atomic effects, i.e., to adsorption of atomic or molecular species on specific adsorption sites is difficult, since the resulting local changes of the electronic structure are not known a-priori. For O a number of possible adsorption sites have been treated theoretically which may be used for their identification in the STM images. A surprising result was that already from the beginning of the gas exposure of Si(100)2x1 different adsorption sites will be occupied. The N containing gases show similar effects as pure O2 except for the reactivity and the distribution of specific adsorption events. A statistical analysis of the adsorption events is presented for all gases. Adsorption at higher temperatures gives rise to macroscopic changes of the surface which appear as Si etching and island formation. In the case of NO on Si(111)7x7, subsequent heating produces ordered Si nitride structures. As a final example the condensation of Pt on clean and oxidized Si(100) is discussed.


Synchrotron and conventional photoemission studies of
oxides and N2O oxynitrides

Z.H. Lu

Institute for Microstructural Sciences

National Research Council

Ottawa, Canada

Photoemission spectroscopy (PES) is a technique capable of measuring film physical thickness, chemical composition, and oxidation state. Here recent progress of PES in quantification of industrial thermal oxides and rapid thermal N2O oxynitrides will be reviewed. In the case of oxynitrides, N 1s core level studies indicated that there are two types of N in the oxynitride film. The chemical bond configuration of type-I N is similar to that of N in a nitride, i.e. N has three Si atoms as its first-nearest-neighbors. PES depth profile via wet etching found that type-I N is distributed mostly within the first 1nm from the interface while type-II N is distributed mainly outside of the first 1 nm region. As compared with type-I, type II has a higher binding energy indicating more electro-negative oxygen atoms in its first or second shell. Regarding interfacial suboxide structures, the number of interface suboxides was found, in the case of thermal SiO2/Si, to increase with increasing growth and anneal temperatures. For N2O oxynitrides, the number of interface suboxides was greatly reduced upon the inclusion of N in the film. The experimental data suggest that the interface suboxides play two important roles; (1) they function as topological links between crystalline silicon substrate and amorphous SiO2 film, and (2) they act as a strain buffer layer to minimize strain energy induced by a "lattice" mismatch between Si and SiO2.


High resolution XPS study of ultrathin oxynitrides

E.P. Gusev

Depts. of Chemistry and Physics, and Laboratory for Surface Modification

Rutgers University,Piscataway, NJ USA,

K. Morino, S. Yokoyama, M. Hirose

Research Center for Nanodevices and Systems

Hiroshima University, Higashi-Hiroshima, Japan

M.L. Green

Lucent Technologies Bell Laboratories

Murray Hill, NJ, USA

The purpose of this work to study local chemical configurations and depth distributions of nitrogen atoms in ultrathin (1.5 - 4 nm) oxynitride films thermally grown on Si (100) in NO and N2O at 700 - 1000 C. A high-resolution ESCA-300 (SCIENTA) spectrometer with an Al photon source was used to monitor photoemission of N1s, O1s, and Si2p core-levels, with particular emphasize on the N1s level. For all processing conditions studied, we observed a single N1s peak with the width (FWHM~1.4 eV) similar to the O1s and Si2p (oxide) peaks, and much broader than the silicon substrate peak ( ~ 0.4 eV). The shape of the N1s peak is asymmetric and depends on the processing conditions, implying more than one bonding configuration of nitrogen. The N1s spectra can be deconvoluted into two Gaussian components. The component at 397.6 eV corresponds to nitrogen species near the SiOxNy/Si interface, as follows from angular resolved measurements. Due to its similarity to a reference CVD Si3N4 film, we interpret this feature in terms of nitrogen atoms triple bonded with silicon near the interface. The other component at 398.2 - 398.9 eV (depending on film thickness) is located further into the film and it could be due to N-O bonds, strained bonds near the interface, and/or other structural factors. We will also discuss nitrogen depth profiles deduced from simulations of angular dependencies of relative N1s, O1s, Si2p(oxide) and Si2p(substrate) intensities.



 
 

Session 6: Electrical properties and microscopic models of defects


Tunneling transport and reliability evaluation in
extremely thin (1.0 ~ 4.6 nm) gate oxides

M. Hirose, Y.Mizubayashi, K. Morino, M. Fukuda and S. Miyazaki

Department of Electrical Engineering, Hiroshima University

Higashi-Hiroshima, Japan

The quantitative analysis of measured tunnel current as a function of oxide voltage coincides with the theoretical prediction for 1~4.6 nm thick oxides with the barrier height of 3.25 eV and the tunneling electron effective mass m*=0.29m0. These results indicate that the oxide layer thickness fluctuation is extremely small and very conformal oxide growth proceeds on the surface. In addition, the electronic properties of thermally grown oxides remain almost identical even for ultrathin (1~3 nm) oxides. Dielectric degradation or quasi-breakdown of gate oxides in the thickness range of 3 to 4.6 nm occurs through a significant increase of the direct tunnel current component because a local conducting path is formed in SiO2 under constant current stress. An analytical model to explain the degradation behavior is proposed to reveal the dielectric breakdown mechanism, where built-in stress in the SiO2/Si interface and impurity atoms such as phosphorus, boron or fluorine incorporated in SiO2 are taken into account. For oxides thinner than 3nm, the stress current of more than 0.1A/cm2 is purely controlled by the direct tunnel current. Consequently, apparent Qbd values progressively increase as the oxide thickness decreases. A ten year lifetime for ultrathin gate oxides at an electric field strength of 5 x 106 V/cm requires Qbd values in the range of 1 to 1 x 107 C/cm2 for 4.6 to 2 nm thick oxides, inconsistent with the measured Qbd. The local wear-out behavior of oxides for 1~3 nm thick oxides is basically similar to the case of thicker oxides. In order to evaluate the quality of 1nm thick SiO2, SiO2(1nm)/silicon quantum dot (3 nm) / SiO2(1 nm) double barrier structures have been fabricated, and the negative conductance due to resonant tunneling through the quantum dot has been clearly observed at 300K, in good agreement with the calculated tunnel current.


(talk cancelled)
Additional information on the Pb1 interface defect in standard
thermal(100)Si/SiO2 from electron spin resonance

A. Stesmans

Department of Physics

University of Leuven

Leuven, Belgium

The thermal growth of the Si/SiO2 structure is known to be attendant with the natural incorporation of coordination defects at the Si/SiO2 interface as a result of network-lattice mismatch. At the (100)Si/SiO2 interface, electron spin resonance (ESR) has so far isolated two spectroscopically different types of defects, called Pb0 and Pb1.[1]. Their atomic structure is still obscure, in particular that of Pb1, mainly because ESR analysis has so far failed to provide as persuasive a set of data as for the single Pb defect observed at the (111)Si/SiO2, which has been convincingly identified as the interfacial Si_Si3 defect. Reasons are the inherently smaller defect density and spectral overlap. In the case of Pb1, this has obstructed satisfying spectroscopic analysis, which is addressed here. ESR data are presented on thermal (100)Si/SiO2 structures predominantly exhibiting either the Pb0 or Pb1 interface defect. The element of inclusiveness inherent to inferring ESR parameters from entangled weak Pb0 and Pb1 spectra has thus been alleviated.

Main results on Pb1 include: First, regarding the g tensor, confirmation of the Pb1 point symmetry as monoclinic-I, reliable accurate determination of the principal g values, and g tensor orientation. Two, the linewidth is found to be weakly dependent on magnet angle, ascribed to a strain induced spread sg^ ~0.00035 in g^, about 2-3 times less than typical for Pb in (111)Si/SiO2. Three, the relative intensities of the different Pb1 signals (corresponding with the various g branches in the g map) comply with crystallographic expectations. The nature of the defect, that is its atomic structure, is addressed within the context of the salient ESR data combined with previously established experimental facts.

[1] E. Poindexter, P. Caplan, B. Deal, and R. Razouk, J. Appl. Phys. 52, 879 (1981)


Local tunnel emission assisted by inclusions contained in buried oxides

L. Meda

Enichem

G.F. Cerofolini

SGS-Thompson Microelectronics

Agrate, Milano, Italy

SOI structures are interesting candidates as dielectric insulation for future MOS transistors. In spite of the existence of different reliable technologies to obtain buried oxides (BOXes) their use is still limited, though they in principle allow very large integration. In SIMOX technology [1], where BOXes are obtained by oxygen ion implantation into single crystal silicon, a way to reduce production cost is to decrease the implantation time by lowering the oxygen dose. BOX thickness reduction from 400 nm to 100 nm has been demonstrated to be feasible, with the advantages of minor cost, better heat dissipation and minor defectiveness in the top silicon layer [2].

The dynamics of BOX formation is complex and involves different phenomena: oxide nucleation and precipitation, (occurring during oxygen implantation), and coalescence and Ostwald ripening, (occurring during annealing) [3]. After these processes, peculiar defects are observed in BOX layers, in addition to dislocations in the top silicon due to local stress. While dislocations could be avoided by lowering the oxygen dose, BOX defects are persistent and this explains the interest in analyzing how they affect electrical performances.

Two major defects occur in BOXes: pinholes and silicon inclusions. Pinholes interrupt the dielectric insulation by connecting top silicon with the substrate; they are more abundant in thinner oxide layers and their origin could be due to dust particulates on the silicon surface, producing a mask during oxygen implantation [4]. While pinholes are possibly caused by the external environments, silicon inclusions depend on an intrinsic cause related to the BOX formation, and they are present in both thin and thick layers [5-6]. Both of these kinds of defects are harmful: pinholes affect device yield, while inclusions impact mainly electrical performance. Due to their intrinsic nature, accounting for inclusion effects is an interesting challenge. Their structure can be observed by TEM and their electrical behaviour can be studied by preparing capacitors using BOX as the dielectric layer. To characterize BOX layers containing inclusions, scaled-area capacitors (in the range 10-4 -10-2 cm2) have been prepared and I-V characteristics have been obtained by ramping the voltage from 0 to 40 V, with steps of 0.5 V and duration of 0.3 s, in the temperature range 20-300 °C.

A model for the interpretation of most characteristics is proposed. The effects of inclusions are manifold: they reduce the breakdown voltage because of the reduction of the effective BOX thickness, and sustain a field assisted tunneling current (Fowler-Nordheim current) enhancing the surface electric field. By an analysis of the experimental characteristics and by implementing this model, a correlation between structural parameters and electrical behaviour, due to silicon inclusions, has been found.

[1] K. Izumi, Vacuum 42 (1990) 333

[2] L. Meda, S. Bertoni, G.F. Cerofolini, C. Spaggiari, Nucl. Instr. and Methods B 80/81 (1993) 813

[3] G.F. Cerofolini, S. Bertoni, L. Meda, C. Spaggiari, Mat. Sci. Eng. B 22 (1994) 172

[4] L. Meda, S. Bertoni, G.F. Cerofolini, P. Pagliano, G. Pandini, C. Spaggiari, Ion Implan. Tech. 94, Elsevier Sci. (1995) 706

[5] L. Meda, S. Bertoni, G.F. Cerofolini, H. Gassel, Nucl. Instr. and Methods B 84 (1994) 270

[6] L. Meda, S. Bertoni, G.F. Cerofolini, C. Spaggiari, H. Gassel, Proc. 6th Intl. Symp. SOI Technol. and Dev., The Electrochem. Soc., Pennington NJ, vol. 94-11 (1994) 224.


Parameters of ultrathin dielectric films under high electric fields

A.A. Evtukh

Institute of Semiconductor Physics

Kiev, Ukraine

The parameters of ultrathin dielectric films (SiO2) have been investigated. Two types of structures were used to study electron and hole transport through ultrathin SiO2 films: - Si-SiO2-Al, and Si-SiO2-Si3N4-Al. In the first structure the direct and Fowler-Nordheim electron tunneling through ultrathin silicon dioxide was observed. The energy barriers for electrons at the Si-SiO2 and Al-SiO2 interfaces were determined using the slope of Fowler-Nordheim plots and in the case of thinner oxides using the point of transition from direct tunneling to Fowler-Nordheim tunneling. Lowering of the electron charge barrier is observed for ultrathin SiO2 films. The built-in charge was taken into account during current-voltage analysis.

The investigation of hole tunneling in the first type of structure presents great difficulties due to a strong asymmetry of barrier heights, independently of the polarity of the applied voltage; the current of electrons from a semiconductor or from a metal electrode largely exceeds the current of tunnel emission of holes. To characterize the hole current during the tunneling of carriers a triangular barrier of ultrathin thermal SiO2 films in high electric fields the second type structure was used. The value of the hole current was found from the quantity of the accumulated charge in the dielectric which was determined by the shift of the voltage-capacitance characteristics of the structure. Using the current-voltage dependencies, the energy barrier heights for electrons and holes on Si-SiO2 and SiO2-Si3N4 interfaces have been determined for ultrathin films SiO2.


Point defect generation during Si oxidation and oxynitridation

C. Tsamis and D. Tsoukalas

Institute of Microelectronics

NCSR ìDemokritosî

Athens, Greece

In recent years there has been an increased interest in the development of excellent quality, very thin gate oxide films, which are necessary for the fabrication of sub-micron devices. Different approaches have been exploited, such as SiO2 re-oxidation in NH3 ambient, N2 implantation and N2O oxidation. On the other hand we know that thermal oxidation processes in general lead to an injection of silicon interstitials into the underlying silicon substrate and that the presence of nitrogen near the oxidizing interface can result in extremely high injections rates, i.e. the case of SiO2 re-oxidation in NH3 ambient. Quantitative estimation of the interstitial injection are critical to correctly predict dopant diffusion and consequently the device operation. However, besides the case of NH3 oxidation, no systematic work has been performed in order to establish the influence of nitrogen on point defect injection kinetics.

In this work we will investigate the influence of N2O oxidation on the kinetics of point defects at high temperatures [1]. The interstitials that are injected during the oxidation process are monitored by the growth of preexisting oxidation stacking faults. We show that at high temperatures (1050-1150°C) the supersaturation of silicon interstitials in the substrate is enhanced when oxidation is performed in a N2O ambient compared to 100% dry oxidation [2]. This behavior is in attributed to the presence of nitrogen at the oxidizing interface. However, at lower temperatures this phenomenon is reversed and oxidation in N2O ambient leads to reduced supersaturation ratios.

Point defect injection during oxidation of nitrogen implanted samples will also be investigated. In this case nitrogen is implanted in the silicon substrates at different doses and the samples are subsequently oxidized in dry oxygen. In contrary to N2O oxidation, where nitrogen is continuously flowing at the interface from the ambient, the total amount of nitrogen in the substrate is fixed and the behavior of silicon interstitial generation depends on the concentration of nitrogen at the interface.

[1] C. Tsamis, D. N. Kouvatsos and D. Tsoukalas, Appl. Phys. Lett., 69 (18), p. 2725 (1996)

[2] C. Tsamis, D. Tsoukalas and J. Stoemenos, J. Appl. Phys. 73 (7), p. 3246 (1993)



 

Session 7: Novel characterization and features in ultrathin dielectrics.


Characterization of MOS systems using positrons: present status and prospects

J.M.M. de Nijs

DIMES

Delft University of Technology

Delft, The Netherlands

In this paper we discuss the potential of positrons for the study of defects in MOS systems. The positrons are introduced by implantation. After thermalization they diffuse around until being trapped at a defect. The defect-related information is obtained from the g quanta that are emitted upon annihilation at the defect site.

The considerable broadening of the positron implantation profile is a major handicap for the study of defects in the MOS system because the spectra will contain information from defects all over the system, whereas one is in particular interested in obtaining such data from specific sites such as the Si/SiO2 interface. In our studies we have resolved this problem for MOS capacitors with a thick oxide layer and thin aluminum gate and for ones with a poly-silicon gate. In these samples, the positrons are implanted under positive bias conditions in the oxide or poly-silicon layer respectively. Due to the positive bias, the positrons are not trapped near the point of thermalization, but instead they are transported towards the SiO2/Si interface where they are all collected. Using this technique one thus can isolate the defect properties of the SiO2/Si interface.

Furthermore, we will discuss experimental results that reveal a correlation between the positron data and the interface state generation rate due to hydrogen release. Finally we will deal with the prospects of positron measurements to provide more detailed information using lifetime, correlation and two-dimensional angular correlation annihilation radiation measurements.


A new model of photoelectric phenomena in
MOS structures: an outline and applications

H.M. Przewlocki

Institute of Electron Technology

Warsaw, Poland

Photocurrent-voltage I(V) and photocurrent-wavelength I-l characteristics of internal photoemission in metal-oxide-semiconductor (MOS) structures have been widely used to determine basic parameters of these structures. To allow interpretation of experimental results, a physical model has been developed by Powell and Berglund, which allows prediction of I(V) and Il characteristics of UV illuminated MOS structures. This model however, applies only when relatively high electric fields exist in the dielectric. Recently, it has been shown that certain parameters of MOS structures can be most accurately determined by photoelectric methods, but only in the range of very weak electric fields in the dielectric. This has created the need for a model which would explain the photoelectric characteristics of MOS structures at low electric fields in the dielectric. Such a model has been developed and is presented in this paper. The description of the model starts with the assumptions made and with the basic physical relations used as a starting point. First, the equations obtained are simplified and solved for the particular (but very important) case of zero photocurrent (I = 0) and next, the general solution of the problem is obtained and discussed. Using these solutions, various photoelectric characteristics of different MOS structures are calculated and compared with measurement results. The remarkable agreement between theory and experiment supports the validity of the proposed model. Two examples of practical applications of this model are presented. A method of the effective contact potential difference (ECPD) determination in MOS structures and a method to compare trapping properties of various dielectric layers in these structures. The method of ECPD measurement is at present the most accurate of the existing methods, allowing ± 10 mV accuracy to be readily achieved. The method of comparing the trapping properties of dielectric layers seems to be promising and is being currently studied in detail. It is believed that the presented model may become a basis for a whole new family of highly precise photoelectric measurement methods for MOS system parameter determination.


Nonlinear optical spectroscopy of SiO2/Si interfaces

G. Luepke

Dept. of Physics

Vanderbilt Univ., USA

The characteristics of the Si/SiO2 interface are critical in determining integrated circuit performance. Strain, disorder and electrically active defects are of increasing importance with shrinking device dimensions. Consequently powerful non-invasive analytical techniques are required for the characterization of the buried Si/SiO2 interface, which is difficult to probe with traditional optical and electron-based surface diagnostics.

In recent years, optical second-harmonic generation (SHG) has proven to be an effective and sensitive tool for studies of a large variety of interface properties. An electric dipole SHG response is forbidden in centrosymmetric media such as Si or SiO2, making SHG intrinsically sensitive to the interfacial region where the inversion symmetry is broken. Several studies on Si/SiO2 interfaces have employed the tensorial characteristic of SHG to examine the chemical bonding arrangement at atomic-scale step and kink sites. These results could be correlated with electrical measurements of the midgap density of interface traps on the same samples.

Strain and disorder at the interface of thermally grown or plasma-deposited SiO2 films on Si(001) and Si(111) are analyzed by optical second-harmonic spectroscopy. The strain is seen to cause a redshift of 40 and 70 meV of the interband critical points E0 and E1 compared with the bulk silicon values. The disorder is observed by the perturbation of states out of the silicon bulk bands into the gap. Both at terraces and at steps, the density of these charge traps is found to be considerably reduced after rapid thermal annealing.

For plasma oxides deposited on Si(001) and Si(111), time-dependent second-harmonic experiments reveal that near-interface oxide defects trap charge by tunneling of photoexcited electrons from the Si conduction band. The space-charge field-induced second-harmonic transients are resonantly enhanced by two-photon E1 transitions in silicon. This effect provides a metallization-free method for characterizing near-interface trap states.


Structural transformation in ultrathin SiO2 layers

V.G. Litovchenko

Institute of Semiconductor Physics

Kiev, Ukraine.

Si-SiO2 systems with ultrathin SiO2 currently are important for sub-micron electronic devices. The electrical and structural properties of ultrathin (2-20nm) silicon dioxide layers have been systematically investigated. The initial stages of the thermal oxidation of silicon is non-uniform, hence the electrical and structural characteristics of ultrathin oxides depend strongly on the oxide thickness. The features connected with an increasing growth rate in the thickness range 5.0-7.0 nm have been observed. Energy barriers for electrons at the Si-SiO2 interface and electric breakdown of SiO2 films with oxide thickness, both have features which scale nonmonotonously . These features are connected with structural rearrangement of SiO2 films. A model for explaining ultrathin oxide properties and features based on a structural transformation of SiO2 layers has been proposed. Reconstruction of the oxide structure from a more dense and strained 4-fold ring configuration to mixed 4-fold, 6-fold and enriched 6-fold structures has been demonstrated.

Results on the structural characteristics can be summarized as follows. In the case of oxide layers thicker than 7 nm, the parameters of both elementary bands (maximum position 1050 and 1085 cm-1, FWHM -45 and 60 cm-1, correspondingly) correlate rather well with those obtained earlier for thermal SiO2 films. In the case of oxide layers thinner than 7 nm these parameters are strongly different: the first contribution becomes more narrow (the value of H is 40 cm-1) and is shifted to low frequency region (the value of W is 1035 cm-1).

These data indicate the substantial variation of the structural composition of ultrathin SiO2 films with changes in thickness. Namely, the structure of oxide films thicker than 7 nm may be described as a mixture of 4- and 6- fold interconnecting SiO4, rings - the oxygen atoms vibrations lead to the appearance of two bands with maximum positions of 1050 and 1085 cm-1. The structure of oxide films thinner than 7 nm hence, should be something different. The decreased frequency of oxygen atom vibration is due to decreased value of the Si-O-Si bond angle. In the case of stoichiometric content of the film such a decreased angle may be connected with are large distortion of the 4-fold SiO4 rings and/or with an appearance of the sufficient amount of 3-fold rings in the lattice of thinnest oxides. On the other band the contribution with W=1035 ± 3cm-1 and H=47 ± 7cm-1 is inherent to the Si-O stretching band of SiOx films and was connected with Si-O-O-Si2 molecular clusters. Thus one may suppose that the structure of the thinnest oxides along with rings of SiO4 tetrahedra includes some amount of weakly oxidized silicon. To make a certain conclusion more detailed experiments using structural sensitive techniques should be carried out.



 
 

Session 8: New substrates (SiC, SiGe) and technologies (SOI, etc.).


The current status and future trends of SIMOX/SOI, and new technological applications of the SiC/SOI system

J. Stoemenos

Physics Department

Aristotle University of Thessalonik

Thessaloniki, Greece.

The present status and the state of the art of Silicon On Insulator (SOI) technology will be presented. The significant difference in the formation of thermally grown oxide and buried oxide (BOX) produced by high dose oxygen implantation in silicon (SIMOX) will be highlighted. The different sources of the defects in the Si-overlayer and the SiO2 buried layer produced during implantation and annealing treatment are discussed. Special attention will be given to the generation of small tetrahedral stacking faults and prismatic stacking faults in the Si-overlayer at the Si/SiO2 interface due to small misorientation of the agglomerated Si islands during high temperature annealing. The trapping of Si in BOX structures which results in Si-islands formation and strained Si-Si bonds will be discussed.

A comparative study of the two most successful technologies SIMOX and wafer bonding is included. Special attention will be given to the new Smart-Cutì technology, which combines hydrogen implantation and wafer bonding. The physico-chemical mechanisms involved in this process will be presented. The advantages and the disadvantages of the new Smart-Cutì technology will be discussed.

The feasibility to extend the SOI structures to SiC will be shown. The advantages of a SiC/SOI structure formed by epitaxial growth on SIMOX are :

1) the separation of the 3C-SiC from the Si substrate by a buried oxide layer results in lower leakage current, improving the electrical characteristics of devices and sensors especially for high temperature applications.

2) the softening of the SiO2 buried layer at the growth temperature of 3C-SiC, allows the relief of stress associated with the thermal expansion coefficient differential between the 3C-SiC over-layer and the Si wafer.

The formation of 6H or 4H-SiC on insulator ( SiCOIN) structures by the Smart-Cutì technique is very attractive because it results in high quality low cost SiCOIN structures. The advantages of the SiCOIN structures and the problems related with to their realization will be discussed.


Future trends in SiC-based microelectronic devices

A.A.Lebedev and V.E.Chelnokov

A.F.Ioffe Physico-Technical Institute

Russian Academy of Science.

St.Petersburg, Russia

Because of its large bandgap (3.0 eV for 6H SiC and 3.2 eV for 4H SiC) and radiation hardness, silicon carbide is a perspective material for high temperature microelectronic devices. In SiC power devices units, switching power and speeds exceeding those in silicon by an order of magnitude or more are obtainable, with operating temperatures up to 1000_C. In the present work some theoretical analysis of SiC parameters important for electronic device producing will be made. Also a comparison of the different technological methods used for SiC growth will be presented: for substrate growth - the Lely and modified Lely methods; for n-type epilayers growth - sublimation epitaxy (SE), container free liquid phase epitaxy (CFLPE), chemical vapour deposition (CVD); for pn junction producing - SE, CFLPE, CVD, Al implantation and boron diffusion; and for mesa structures formation - plasma-ion etching. Problems connected with ohmic contacts, surface protection and diode packaging will be discussed.

We will also give a short review of recent parameters of different SiC devices: Schottky diodes, UV photodetectors, rectified diodes, bipolar transistors, FET's, thyristors and the first integrated circuit operational amplifiers.


Challenges in the oxidation of strained SiGe layers

V. Craciun* and I. W. Boyd

Electronic and Electrical Engineering

University College London

The use of high quality strained SiGe layers grown on Si substrates holds great promise to enhance some Si properties like hole mobility or open exciting new applications in the area of heterojunction bipolar transistors, quantum wells and/or superlattices. Oxide films are a key ingredient of any Si based integrated circuit where they perform a multitude of tasks. Therefore, the need to study the SiGe oxidation has many motivations, from the requirement to have a high quality active oxide layer for MOS applications having a SiGe channel, to passivation of the structures.

It was found almost 2 decades ago that the presence of the Ge atoms interfere with the classical Deal-Grove oxidation kinetics of Si. Since then, many other investigations have tried both to quantify this effect in terms of Ge concentration, temperature and ambient atmosphere (wet versus dry), and to use it to enhance the growth of thin oxides. There is now a good understanding of the kinetics of thermal oxidation of SiGe layers and the multilayer structure of the grown oxides.

For thin oxide layers, which are the aim of this presentation, and low Ge content (<50 %), it has been found the oxide grows faster than for pure Si samples. The structure of these oxides usually begins with a thin, Ge free, stoichiometric SiO2 layer. All the Ge atoms initially present in this region are snow-plowed to the interface, where they accumulate in a layer with a higher concentration than that of the as-grown SiGe layer. When the Ge concentration in this accumulation layer reaches values around 2x1015 atoms/cm2, some of atoms start to be incorporated into the advancing SiO2 front, resulting in a mixed SiGe oxide layer. Because of these atomic rearrangements at the interface between the oxide and the substrate, the electrical properties of the grown layers, although dramatically improved in the recent years, still lag behind the best reported values for the SiO2/Si structures.

An important conclusion of these studies is that the temperatures normally used for thermal Si oxidation are too high to preserve the crystalline perfection of the SiGe strained layers, which can relax. In order to circumvent this problem, different low temperature oxidation methods such as ion implantation, plasma or photon (mainly UV and VUV) assisted oxidation have been alternatively investigated. Basically, these methods have allowed the growth of 10 to 50 nm thick oxides at temperatures lower than 600oC, without any measurable relaxation of the SiGe strained layer. The structure and electrical properties of these low temperature grown oxides will be review in this presentation. Interesting new aspects such as the use of the UV-assisted oxidation processes to synthesis quantum nano-particles exhibiting visible photoluminesence, and stress effects induced during the oxidation will be also presented.

*permanent address: National Inst. for Laser, Plasma and Radiation Physics, Bucharest, Romania


Computer modeling of ultrathin oxide formation under oxygen ion implantation

A.A. Efremov

Institute of Semiconductor Physics

Kiev, Ukraine.

The results of computer simulations of the kinetics for SiO2 ultrathin oxide formation under either oxygen ion beam implantation or low energy bombardment are presented and compared with experiment. Three different sets of experimental results are considered: (i) High energy C+ and O+ combined implantation and annealing resulting in a the formation of thin (60 nm) SiO2 buried layer synthesis at a depth of about 350 nm from the top Si surface; (ii) Similar combined implantation of H+ and O+ into silicon and annealing in which a thin (15 nm) buried oxide is formed; (iii) In situ SIMS study of low energy O2+ bombardment of silicon surface, which is accompanied by about 20 nm of SiOx (x~1-2) growth.

Computer codes are developed for modeling of each type of experiment. Different types of quasi-chemical reactions between oxygen, silicon, other impurities and structural defects are considered and diffusion and precipitation are considered in cases (i) and (ii). In case (iii) such additional processes as ion beam induced mixing, sputtering and secondary ions formation are taken into account. Good agreement with experiment is achieved. Some new processes (e.g. enhanced oxidation of Si in the vicinity of hydrogen containing nano-bubles) are predicted from the calculations.


Free-electron laser wavelength-selective materials
alteration and photoexcitation spectroscopy

N. H. Tolk

Department of Physics and Astronomy

Vanderbilt University, Nashville, TN USA

The Vanderbilt Free-Electron Laser's (FEL) tunability (2-10 mm), high intensity (15 MW) and short pulse structure (1 ps) make it ideal for studying (a) the electronic and vibrational structure of small and wide band gap semiconductors, and (b) non-thermal wavelength-selective materials alteration. Spectroscopic studies of semiconductor electronic and nonlinear optical properties will be discussed. Two-photon absorption measurements in Ge were the first verification of a two-decades old prediction by Bassani and Hassan that the indirect two-photon absorption in Ge would be an LO-phonon assisted process[1]. They also provided valuable insight into the effect of inversion symmetry on two-photon absorption. The strength of germanium's indirect gap two-photon absorption was found to be 1000 times weaker than the direct absorption. The FEL has greatly facilitated internal photoemission (IPE) heterojunction band discontinuity measurements[2]. This technique is a photocurrent excitation spectroscopy that provides a direct measurement of the discontinuity without resorting to complex modeling. A photocurrent threshold is observed as the photon energy exceeds the discontinuity energy. IPE has long been used in the field of Schottky barriers but has largely been ignored in the case of semiconductor heterojunctions because of insufficiently intense light sources. Another important area of materials research at the FEL concerns identification of wavelength-selective mechanisms for materials alteration. Vibrational modes associated with defects and impurities provide a means of introducing a non-thermal distribution of energy into a solid. We have used the FEL to demonstrate strongly wavelength-selective ablation near the C-H stretch vibrational mode in chemical vapor deposited (CVD) diamond[3].

We gratefully acknowledge support by the Office of Naval Research under Grants N00014-91-J- 4040 and N00014-91-C-0109.

[1] "Free-Electron Laser Measurements of Direct and Phonon-Assisted Indirect Two-Photon Absorption in Germanium," by E. Tuncel, J-L. Staheli, C. Coluzza, F. Gozzo, G. Margaritondo, D. Martin, J. T. McKinley, R. G. Albridge, A. V. Barnes, A. Ueda, X. Yang, and N. H. Tolk. Phys. Rev. Lett. 70, 4146 (June, 1993).

[2] "Free-electron laser spectroscopy of semiconductors at interfaces," by J. T. McKinley, R.G. Albridge, A. V. Barnes, G. C. Chen, J. L. Davidson, M. L. Languell, P. L. Polavarapu, J. F. Smith, X. Yang, A. Ueda, N. Tolk, C. Coluzza, P. A. Baudat, C. Dupuy, M. Illegems, D. Martin, F. Morier- Genoud, A. Rudra, E. Tuncel, G. Margaritondo. J. Vac. Sci. Technol. A 12, 2323-2326 (1994).

[3] "Free-Electron Laser Wavelength-Selective Materials Alteration and Photoexcitation Spectroscopy," N.H. Tolk, R.G. Albridge, A.V. Barnes, B.M. Barnes, J.L. Davidson, V.D. Gordon, G. Margaritondo, J.T. McKinley, G.A. Mensing, and J. Sturmann, Appl. Surf. Sci. 106, 205-210 (1996).



 

Session 9: H/D issues and device reliability


Hydrogenous Species and Charge Defects in the Si-SiO2 System

E. H. Poindexter

Army Research Laboratory

Adelphi, Maryland, USA

Hydrogen can have both beneficial and harmful effects in metal-oxide-silicon (MOS) devices. Several hydrogenous species are known or suspected to be involved in impurity- or defect-related phenomena in the Si-SiO2 system. Yet, despite the vast research and commercial progress in integrated circuit (IC) technology, the role of hydrogen is still only partially characterized. Here, the demonstrated or conceptual behavior of hydrogenous species is reviewed and considered for several MOS features: passivation/depassivation of interface traps; negative-bias-temperature instability; radiation/hot-carrier damage; oxide fixed charge; Deal oxidation triangle; and oxide electron traps. :The possible importance of atomic H, protons, and H3O+ ions is shown to have been generally overlooked; recent chemical kinetic studies highlight their significance for scientific understanding and for soundly-modeled defect control in IC manufacture and application.


The role of hydrogen in the formation, reactivity and
stability of silicon (oxy)nitride films

F.H.P.M. Habraken and W.M. Arnoldbik

Atomic and Interface Physics, Debye Institute, University of Utrecht

Utrecht, The Netherlands

and

A.E.T. Kuiper

Philips Research Laboratories

Eindhoven, The Netherlands

In investigations of the last decennium it has become clear how hydrogen and hydrogenated gases are significantly involved in the formation of thin dielectric nitride and oxynitride films. As a consequence hydrogen is incorporated in the deposited or grown films, where it plays a role in their physical, chemical and electrical reactivity and stability. For instance, it has been shown that thermal nitridation of Si and SiO2 occurs preferentially in NH3 and thermal oxidation of silicon (oxy)nitride occurs at a relatively low temperature in a wet process (H2O) only. The mechanisms of these processes have been investigated. It has been hypothesized that the process of wet oxidation and nitridation in the Si-O-N system can be conceived as two manifestations of a single chemical reaction system [1]. In this system hydrogen stabilizes intermediate reaction products, allowing multi-step reactions to proceed. Interruption of the process or, more precisely, isolation of the intermediate species from the reactants results in incorporation of these hydrogenated intermediates in the material. The incorporated, bonded hydrogen atoms represent reactive sites in the films. From these sites hydrogen is able to migrate and desorb from the film via several mechanisms, at higher temperatures as a result of other kind of activation. In this presentation the studies of these processes will be reviewed. The oxygen-to-nitrogen concentration ratio in oxynitride films will be shown to be a useful parameter to characterize the films. In these investigations isotopes and isotope sensitive analysis techniques have been applied frequently. The use of high-energy ion beam methods will be emphasized.

1].F.H.P.M. Habraken and A.E.T. Kuiper, ìSilicon nitride and oxynitride filmsî Materials Sciende and Engineering R12 (1994) 123.


Electrical defects at the SiO2 /Si interface studied by EPR

James H. Stathis

IBM Research Division

T.J. Watson Research Center

Yorktown Heights NY USA

Electron paramagnetic resonance (EPR) has been used together with electrical characterization (e.g. CV spectroscopy) to study the chemistry of atomic hydrogen reactions with defects at the Si/SiO2 interface. Using a remote hydrogen plasma, it has been shown that atomic hydrogen can either passivate or de-passivate dangling bonds (Pb centers), depending on the starting dangling bond density. Pb centers at the (111) interface are passivated by hydrogen in samples with initial high Pb density, and are generated if the starting Pb density is low. The steady-state balance between passivation and depassivation determines the final Pb density. At the (100)Si/SiO2 interface there exist two varieties of Pb centers, Pb0 and Pb1, which show quantitative differences in behavior. Pb0 is fully depassivated by room temperature atomic hydrogen exposure, whereas Pb1 is not. This may explain why mostly Pb0 is seen after irradiation or electrical stress of (100) oriented MOSFETs.

Atomic hydrogen exposure of gate oxides produces a variety of other electrically active defects in addition to Pb centers. These include fast and slow interface states and neutral sites in the oxide bulk. The atomic-hydrogen-induced defects are similar in most respects to those produced by high field stress, supporting the idea that the release of atomic hydrogen is responsible for much of the hot-electron- and radiation-induced interface state generation in MOSFETs. Electrically active paramagnetic defects can be directly and sensitively detected using spin-dependent recombination (SDR) and related spin-dependent transport measurements. Spin-dependent tunneling (SDT) has been used to detect the defects responsible for leakage currents in ultra-thin SiO2 films, and SDR has been used to show that Pb0 centers are generated by hot electron stress in MOSFETs. However, the Pb centers can only account for a small fraction of the total number of interface states produced by atomic hydrogen or electrical stress, and the dominant electrically active defects remain unknown.


Deuterium in ultrathin films of SiO2 on Si

I.J.R. Baumvol1, F.C. Stedile2, C. Radtke2, F. L. Freire3 Jr., E. Gusev4, M. L. Green5, and D. Brasen5

1)Instituto de Física and 2) Instituto de Quimica - Porto Alegre, Brasil;

3) Departamento de Física - PUC-Rio - Rio de Janeiro, Brasil

4) Department of Chemistry and Physics, Rutgers University, Piscataway, NJ USA

5) Bell Laboratories Lucent Technologies, Murray Hill, NJ USA

It was demonstrated recently [1] that replacing hydrogen with deuterium during the final wafer sintering process greatly reduces hot electron degradation effects in MOS transistors, due to a new giant isotope effect. Transistor lifetime improvements by factors of 10-50 were observed, and it was suggested that the benefits of deuterium may be general and also applicable to other areas of semiconductor device processing. We have studied ultrathin films of SiO2 (5.5 nm thick), loaded with deuterium by means of thermal treatment in D2-rich atmosphere. Nuclear reaction analysis of the samples using the 2H(3He,p)4He reaction at 700 keV allowed the determination of the total amount of deuterium in the as-loaded samples, as well as in those submitted to thermal annealing in vacuum at different temperatures and for different times. The depth profiles of deuterium in the film samples were also accessed, using step-by-step chemical etching associated with nuclear reaction analyses to determine the total amounts of deuterium and oxygen remaining in the films after each etching step. The total amounts of oxygen were measured by means of the 16O(d,p)17O nuclear reaction at 810 keV. The activation energies and depth profiles here determined are discussed in terms of physico-chemical processes responsible for the incorporation of deuterium in ultrathin SiO2 films, as well as those associated with the rupture of the chemical bonds, diffusion and desorption of deuterium during vacuum annealing.

[1] J.W. Lyding, K. Hess, and I.C. Kizilyalli, Appl. Phys. Lett. 68 (1996) 2526.



Poster Abstracts

1. Electron resonant tunneling in a metal-oxide-silicon nanostructures

G.G.Kareva

Institute of Physics, St.Petersburg University

St.Petersburg, Russia

Decreasing the thicknesses of both the oxide and the space charge region in a semiconductor of a metal-dielectric-semiconductor (MDS)-structure down to the nanometer range offers possibilities in changing to a double-barrier structure where electron resonant tunneling can be realized. In turn this can essentially enrich properties and functions of the structure as the measured capacitance-voltage and current-voltage characteristics of metal-oxide-p+-silicon structures have implied. The oxide thicknesses are between 1 and 5 nm. The necessary widths of the space charge regions are achieved by highly doping the silicon in the range of 1018-1020 cm-3. In reverse bias, the structure has a quantum well that, as part of the space charge region, turns out to be sandwiched between two barriers. One of them is the tunnel transparent barrier of the silicon depletion layer and the other is the tunnel transparent dielectric. In some bias range, the investigated characteristics at room temperature demonstrate behavior which is conventional for MDS-structures, whereas in the other bias range there are about 10 resonant tunneling peculiarities (steps and peaks). The current peak-to-valley ratio is about 500. Voltage-controlled hysteresis of the characteristics attests to multi-stability of the structure and very good memory, with possibilities of recording and erasing charges.



2. Current switching in bistable structures: heavily doped n- polysilicon/tunnel oxide layer/n-silicon

V.Yu. Osipov

Ioffe Physico-Technical Institute

St. Petersburg, Russia

Investigations of switching effects in structures with a tunnel insulator were conducted mainly on structures with a metal upper electrode. At the same time, it is more important to study switching effects in STIS (semiconductor/tunnel insulator/semiconductor) structures with a polycrystalline silicon gate electrode. This is because structures with a polycrystalline silicon electrode have, as a rule, a much lower resulting density of defects and are more reliable then structures with a metal electrode. This paper reports the observation of optically induced switching in heavily-doped n-polysilicon/tunnel SiO2/n-Si-structures. A 23 Å thick tunnel-transparent oxide layer was grown on n-Si substrates with a resistivity of 25 ½cm. The oxide was grown by thermal oxidation of the (100) silicon surface in a dry oxygen flow at a temperature of 700_C. A 5000 Å -thick polycrystalline layer was deposited on the oxide surface by decomposition of monosilane at 630_C. Switching from a state with a steady-state non-equilibrium depletion and a low current into the "on" state with a high current and a low voltage drop on the structures is observed. A structure can be switched on both by a radiation pulse with a small reverse bias on the structure (50 V) and under dark conditions by increasing the reverse bias to 250-300 V. In the "on" state electrons tunneling from the conduction band of n- polysilicon become "hot" near the n-Si-SiO2 boundary and Auger carrier generation is the internal source of minority carriers that is required for compensating tunnel leakage of holes into the n-polysilicon and for maintaining a quasi-equilibrium inversion layer of holes at the n-Si-SiO2 boundary.



3. XANES and EXAFS Total Electron Emission Yield Measurements of TiO2 and Ti1-xNbxO2

O.A.Usov(1), K.Ju.Pogrebitsky(1), B.T.Melekh(1), Se Ahn Song(2), Jae Cheol Lee(2) and Jin-Hak Choi(2)

(1) A.F.Ioffe Physico-Technical Institute, St.Petersburg, Russia

(2) Samsung Advanced Institute of Technology, Suwon, Republic of Korea

X-ray Absorption Near Edge Spectroscopy (XANES) and extended (EXAFS) spectra using the registration of the total electron yield (TEY) from TiO2 and Ti1-xNbxO2 with x = 0.1 - 0.3 that are widely used in microelectronics and environmental monitoring were obtained at Ti K-edges with a laboratory device. The samples were fabricated by high frequency induction melting in a cold crucible. The rutile structure and unit cell parameters were determined by conventional X-ray diffraction techniques at room temperature. The TEY registered K-threshold and typical XANES peak energy positions are shown to be in good agreement with those obtained by classical transmission methods on TiO2. Local structure parameters, namely the distances from Ti atoms to the nearest coordination spheres were calculated by fitting the theoretical FT-EXAFS data and experimental ones in the specified k-space region. The values of Ti-O distances and the mean-square displacements determined by standard program packages were found to be in agreement with X-ray diffraction and transmission EXAFS data; the observed differences were attributed to surface strain effects. The fundamental aspects of XANES peculiarities including the effects of multi-electron excitations and defects influence are discussed. It is firstly shown that the intensities of so-called B-hump at the Ti K-edge depend on Nb concentration and might be connected with the charge state of Ti.



4. The interaction of oxygen with Si (100) in the vicinity of the threshold of oxide nucleation

V.I. Troyan , V.D. Borman, Yu. N. Devyatko and Yu.Yu.Lebedinski.

Moscow Engineering Physics Institute

Moscow, Russia.

The results of an experimental and theoretical investigation of the initial oxidation of Si (100) are presented. Oxidation kinetics were measured in real time by X-ray-photoelectron spectroscopy at elevated temperatures T= 910 - 950 K and low oxygen pressures P=4 x 10-4 - 10-6 Torr. These oxidation parameters include three parts of the (P,T) - diagram: 1) "passive" oxidation -- the oxide SiO2 film growth regime; 2) "active" oxidation -- surface etching due to volatile SiO escaping, and 3) the regime in the vicinity of the threshold of dioxide nucleation -- oxidation which results in a of ìroughenedî Si(100) surface. Comparing the experimental and theoretical results, we suggest a physical mechanism for submonolayer silicon oxide formation. To account for the experimental results a first-order phase transition theory was used.

Atomic Force Microscopy has been used to examine the surface roughening at elevated temperatures and low pressures. A possible mechanism of the surface roughening transition is described as a spinoidal decay in the vacancy subsystem of the crystal surface layer. Conditions under which quasi-periodic structures and/or stochastic roughness areas appear on the surface are predicted.



5. XPS and DLTS characterization of thin chemical oxides formed on silicon (111) surfaces.

I. M. Kotina, G. V. Patsekina, V. A. Saveliev, L. M. Tuhkonen

PNPI, Gatchina, Leningrad district, Russia

A. V. Sukharev

JSC Mechanory-Analyt, St. Petersburg, Russia

N. V. Bazlov

St. Petersburg State University, Russia

One of the important fabrication steps in the manufacture of silicon devices is etching in a mixture of acids. In this case, the silicon surface is inevitably covered with a thin oxide layer, controlling I-V, noise and other device characteristics. However, despite the technical importance of etching in acid mixtures, there is little information about the nature of surfaces thus prepared.

In this report, we present results of investigations into the p-doped silicon (111) surfaces produced by different chemical etching. X-ray photoelectron spectroscopy (XPS) and deep level transient spectroscopy (DLTS) have been used to characterize surfaces. The silicon waters we studied were dislocation free p-type floating zone (111) with a resistivity of 40 K½cm. The following surface treatments were considered:

  • 1. etching in HF:HNO3 (1:3),
  • 2. etching in HF:HNO3 (1:5),
  • 3. etching in HF:HNO3:CH3COOH (1:1:8),
  • 4. etching in HF:HNO3 (1:3), rinsing in ethyl alcohol,
  • 5. etching in HF:HNO3 (1:3), etching in NH4OH:H2O2 (1:1),
  • 6. etching in HF:HNO3 (1:3), dipping in NH4F
  • 7. etching in HF:HNO3 (1:3), dipping in diluted HF (1:10).
  • In all cases, except dipping in ethyl alcohol, the etching was followed by washing in DI water. A PHI 5400 (Perkin-Elmer) photoelectron spectrometer was used for the measurements. The vacuum in the chamber during the experiment was not less than 2 x 10-8 Torr. The radiation source was Mg Ka or Al Ka. The sample surface was oriented at 45_ to the analyzer normal.

    The difference between 1-2 treatments in the time span (20 hours) before measurement is exhibited in a value of FWHM (full width at half maximum), the size of splitting of the oxide peak (Si2pox) is negligible and practically does not depend upon treatments on this stage. But the difference in the intensity and shape of the Si2pox peak becomes striking after four days, showing that the rate of oxide growth in the air and its composition depends on etchant composition. Slower etchant ensures a smaller rate of oxide growth. The maximum of the oxide peak (Si2pox) is shifted to higher binding energies by decreasing the etching rate . At the same time the asymmetry of the main Si2p peak is increased with oxide growth.

    The oxidation in NH4OH:H2O (1:1) (treatment 5) increases the splitting and decreases the FWHM value of the main Si2p peak. Moreover, in this case the chemical oxide is more stoichiometric compared to other chemical oxides formed in HF:HNO3 solutions and HF:HNO3:CH3COOH solution. This data showed that the composition of the chemical oxide and its stability are controlled by the etching rate, and that there is a close link between the oxide stoichiometry and the FWHM of the main Si2p peak as well as its symmetry.

    However the presence of the SiOx (2>x>0) species is not the only reason for the main Si2p peak to broaden. We compared stability and Si2p spectra from surfaces prepared by dipping in NH4F, ethyl alcohol, diluted HF (treatments 4,6,7) and by acid mixture etching (treatments 1-3). On the basis of the results, we conclude that chemical oxides growth under identical conditions are affected by the existence of Si-OH bonds at the oxide surface.

    We observed that ìchemicalî oxides contain positive charge. This positive charge determines surface bond bending. It has been stated that the size of the positive surface charge depends on the oxide composition. It is found that an ethyl alcohol final treatment produces surfaces exhibiting a higher stability against oxidation than the surfaces treated by diluted HF.



    6. Cathodoluminescence study of the defect depth distribution in SiO2/Si Layers

    M.V.Zamoryanskaya, V.I. Sokolov, A.A. Sittnikova

    Ioffe Physico-Technical Institute

    St.Petersburg, Russia

    In planar devices silicon dioxide is an active and most integral part. Luminescence studies permits one to identify some luminescence centers with defect sites. It is well known that the blue (450 nm) and the red (640 nm) bands relate to intrinsic defects in SiO2. The blue luminescence is due to a triplet-to-singlet transition in twofold-coordinated silicon. The red one relates to non-bridging oxygen states. Electron-beam excitation permits one to observe the luminescence from different depths of the film. The thickness of the luminescent layer is a function of electron energy. If the electron energy is 1keV the depth is about 100-300Å. We used the method of cathodoluminescence to study luminous centers in SiO2 films as a function of depth. The oxide layers were grown at a 1050_C in dry oxygen on a p-type Si(111) substrate. The oxide thickness was 4000 Å. One part of the samples was annealed in dry N2, another - in dry O2 at 800_C. The luminescence was exited by an electron beam at 1-5 kV, beam current between 5-20 nA, and beam diameter - 5-10mm. The spectra were obtained at different electron energies (1kV- 200 Å, 2.5 kV - 2000 Å, 5kV more 4000 Å). In the other experimental series SiO2 layers shaped as a wedge with a small inclination angle by chemical etching in CP. In this case the energy of electron beam was 1kV. For these samples results of luminescence measurements were correlated with the results of structural studies performed by TEM. The observed spectra contain 4 broad peaks: at 450 nm, 500 nm, 575 nm and 650 nm. The luminescence at 450 nm dominates near the SiO2/Si interface. The band at 575 nm was also observed near the interface. One at 650 nm appears in the middle and near the surfaces. The band at 500 nm dominates on the surfaces of the films. It is naturally that such centers as twofold coordinated silicon dominate near the interface, because the oxygen vacancy is the most probable defect there. Respectively the band connected with non-bridging oxygen appears near the surface. The band at 500nm and 575nm is commonly unknown now and will be investigated in future. In conclusion we demonstrated that cathodoluminescense is a powerful method for revealing defects in very thin films of different materials.



    7. A study of avalanche MOS-photoreceivers with ultrathin dielectrics

    V.F.Borodzula, A.N.Ramazanov

    Saint-Petersburg, SPb GTY Russia

    Research into the processes of avalanche reproduction carriers of charge (ARCC) in MOS-structures with ultrathin dielectric layers are very important, because these processes determine performance of avalanche photoreceivers (APR) with MOS-structure. In comparison with photoreceivers on p-n-transition and Schottky barriers, these photoreceivers have a number of advantages: (a) absence of the microplazm; (b) the least sensitivity to local disimilarities; (c) possibility of reality is a higher and more stable strengthening coefficient of the photosignal Mf. (resulting in the self-stabilization of the avalanche process and the effect of self-screening local with increased electric field). APR on MOS structures with ultrathin dielectric allows one to work either in a pulsed or constant current regime. The pulsed regime is more useful in some cases. The structures were obtained by oxidation of Si(100) in dry oxygen at T=800_C to a thickness of 5 - 10 nm. We found that pulsent formation is preferential than the formation in the constant electic field and the pulsent formation defines maximum Mf of APR. We detected that 10% of the samples had Mf ~ 10E3 after formation APR by the pulsents of the current without light ( with restriction on value of current 10-4 -- 10-3 A/sm2 with f = 10-1 -- 2 103 Hz and time of the formation 1-10-3 s. Other samples were breached by the small value Mf. Level (threshold) of the arisin ARCC in the structure increased on 5--8 V after make of formation. Therefore, coefficient strengthening of the photosignal Mf promoted in consequence photo-pulsent formation APR because larger of the area APR on the MOS-basis began to work more effectively.



    8. The initial phases of SiC-SiO2 interface formation by low-temperature (300 °C) remote plasma-assisted oxidation on flat and vicinal 6H SiC for Si- and C-faces

    G. Lucovsky and H. Niimi

    Departments of Physics, Materials Science and Eng., and Electrical and Computer Eng.,

    NC State University, Raleigh, NC USA

    Initial stages of SiC-SiO2 interface formation by 300 °C remote plasma assisted oxidation (RPAO) of 6H SiC have been studied by on-line Auger electron spectroscopy (AES). Si-atom and C-atom terminated p-type 6H SiC wafers oriented either in the (0001) direction, or off-cut at ~3.5 degrees in 1120 directions were employed. After an RCA clean which included a final dip in dilute HF to remove sacrificial oxide layers, SiC surfaces were then oxidized by remote plasma-assisted oxidation, RPAO, using O2, and studied by AES. As oxidation times increased, three changes consistent with SiO2 growth, were evident: i) the line shape of the SiLVV feature changed, ii) the CKVV signal strength decreased, and iii) the OKLL signal strength increased. A SiLVV feature at ~88 eV from bulk SiC bonds (Si-C) decreased as the Si-O feature at ~76 eV increased. As the oxidation progressed, there was a shift in the spectral position of the Si-C feature to lower energy indicating a transition to interfacial O-Si-C3 bonding. The absence of detectable changes in the CKVV spectrum indicated that solid state C-O bonds were not formed during RPAO. The development of interfacial C-O bonds would have produced a satellite peak at lower energy. The oxide thickness was obtained to ± 0.05 nm from intensity changes in the CKVV feature using an escape depth of 0.96 nm. Data were fit by a power law dependence, tox = Atb, where tox is the oxide thickness, t is the oxidation time, and A and b are fit parameters. Oxidations of 6H SiC on both Si and C faces, and on both flat and vicinal surfaces were characterized by the same values of b, 0.40±0.01, whereas oxidations of flat and vicinal Si(111), and flat Si(100) are characterized by lower values of b, 0.29±0.02. The oxidation of flat and vicinal SiC surfaces with Si-faces was compared to that of flat and vicinal Si(111). For the Si-face of 6H SiC, the initial oxidation rates are about 1.6 times faster for vicinal than for flat SiC, and initial oxidation rates for both flat and vicinal SiC are slower than for the corresponding surfaces of Si(111). At longer times, ~10-20 minutes corresponding to an oxide thickness of ~ 2 nm, the thicknesses of the oxides formed on Si(111) and 6H SiC became comparable. Oxidation of flat and vicinal 6H SiC with C faces is faster than the oxidation of flat 6H SiC with a Si face by about 20%, but slower that the oxidation of vicinal 6H SiC with a Si face. In addition, the increase in oxidation in going from flat to vicinal 6H SiC with a C face is only about 10% as compared to ~ 60% faster for the Si faces. Differences between plasma and thermal oxidation of 6H SiC will be discussed with emphasis of the interface and near-interface chemical bonding; e.g., thermal oxidation of SiC has been reported to lead to C-atom incorporation in near-interface regions with silicon oxycarbide bonding [1], whereas there is no AES-detectable evidence for this type of interfacial material in the plasma grown interfaces. Finally, chemical bonding at plasma-formed SiC-SiO2 interfaces will be correlated with electrical properties, in particular of the role that H-atoms play in compensating step-edge C-atom dangling bonds in vicinal wafers with C-atom faces [2,3].

    Supported the Office of Naval Research. The authors wish to acknowledge a collaboration with A. Gölz and Professor H. Kurz of RWTH-Aachen, Germany.

    1. B.. Hornetz et al., J. Mater. Res. 9, 3088 (1994).

    2. E. Stein von Kaminski et al., Microelectronic Engineering 28, 201 (1995).

    3. A. Gölz et al., in The Physics and Chemistry of SiO2 and the Si-SiO2 Interface, eds. H.Z. Massoud, E.H. Poindexter and C.R. Helms (the Electrochemical Soc., Pennington, 1996), p. 753.



    9. A model of layer-by-layer oxide growth during the initial oxidation of silicon

    Yu.N.Devyatko, S.V.Rogozhkin, V.I.Troyan, A.V.Fadeev.

    Moscow Engineering Physics Institute

    Moscow, Russia.

    Studies of the kinetics of the initial stages of high-temperature silicon oxidation (in the oxide formation ìpassive oxidationî mode) by XPS have shown a complicated sequence of Si{n+} suboxide alternation as a function of time, the existence of the critical value of oxygen coverage and ìstep-likeî dependence of silicon dioxide thickness on time [1]. To describe these features, a system of kinetic equations for various states of oxygen and silicon, taking into account diffusion and recombination processes and quasi-chemical reactions with oxygen, silicon and defects, is proposed. As a result of these reactions, (suboxide) complexes with various charging states of silicon can be analyzed. The analysis allows one to conclude that the formation of silicon dioxide monolayers proceed in several stages. The first stage is an accumulation of mobile chemisorbed oxygen, which is accompanied by the formation of clusters of "intrinsic silicon adatom - oxygen" species. At this stage, oxygen coverage is growing almost linearly with time. At the same time chemisorbed oxygen interacts with other chemisorbed oxygen atoms, which results in a reduction of the energy of surface vacancy formation. The result of this interaction is the appearance of a critical coverage, which depends upon the surface orientation and temperature. Upon achieving the critical coverage, the second stage begins, viz. a spontaneous formation of vacancies occur and "vacancy - oxygen" complexes are formed (with SiO2 stoichiometry) by oxygen incorporation into the vacancies [2]. Afterwards, clusters are formed by further incorporation of both chemisorbed oxygen and oxygen from the gas phase. The amount of oxygen in Si1+ and Si2+ states goes down at the beginning, followed by an increase. When Si-O2 clusters cover almost the whole Si surface, the third stage initiates, i.e. a phase transition from silicon dioxide monolayer formation. The crystal structure of the monolayer differs from bulk SiO2 due to the presence of the substrate and significant amount of defects (primary vacancies).

    The formation of the second silicon dioxide layer begins with an accumulation of Si1+ and Si2+ states by oxygen chemisorption on the surface of silicon dioxide with subsequent diffusion to the SiO2/Si interface. When the oxygen concentration at the interface achieves a critical value, the situation repeats itself, i.e. spontaneous growth of vacancies at the interface occurs. As oxygen atoms fill the vacancies, Si-O2 clusters are formed. Subsequently, at cluster concentrations close to 1, the phase transformation and, as a result, formation of the second silicon dioxide layer occur at the interface. One should note that interstitial silicon atoms generated during silicon vacancy formation can diffuse both into the silicon substrate and to the external oxide surface, perfecting a formed dioxide layer. The oxidation ìcyclesî repeat over and over. The time for oxygen to accumulate at the interface (to the critical value) increases with each cycle, following the increase of diffusion time of oxygen molecules for thicker films.

    A numerical solution of the proposed system of the kinetic equations has been carried out. The dependencies of the concentration of suboxide states on time have been investigated up to oxide thicknesses of three oxide layers. The results are in good agreement with experiment. A comparison of the theoretical results with the experimental ones has allowed us to deduce a number of parameters of the processes involved.

    1. V.D.Borman, E.P.Gusev, Yu.Yu.Lebedinskii, V.I.Troyan. Phys. Rev. Lett. 67, 1991, 2387.

    2. V.D.Borman, E.P.Gusev, Yu.N.Devyatko, V.N.Tronin, V.I.Troyan. Surf. Sci. 301, 1994, L239.



    10. The effect of ionizing radiation on MIS devices with an ultrathin oxide

    V. A. Gurtov

    Petrozavodsk State University

    Petrozavodsk, Russia

    Two types of MIS devices with an ultrathin gate dielectric are discussed. The first is an MOS transistor with an ultrathin gate oxide SiO2 (doxª50Å), and the second is an MNOS capacitor for CCD with a double-layer gate dielectric, where an ultrathin silicon oxynitride film is used as an inner sublayer.

    In MOS transistors, a variation of several parameters was investigated, including the threshold voltage Vo, the surface state density Nss, and the drift and Hall mobilities in inversion channels under ionizing radiation up to a dose of Daª107 rad under both non-operating and operating conditions. The best results achieved corresponded to less than 10% variation of the parameters, the Hall mobility in the weak-inversion layer being the most sensitive parameter. When analyzing the response of MOS transistors with an ultrathin gate dielectric to ionizing radiation, a stronger influence of processes at the outer interface on MOS transistor degradation should be noted. On the other hand, more effective gate screening of statistical fluctuations of the charge built in the dielectric is observed and, as a result, less marked influence of these fluctuations on the mobility in inversion layers.

    In MNOS capacitors for CCD with a double-layer dielectric, generation processes in the space-charge region were investigated under relaxation of non-equilibrium depletion. The large value of the relaxation time trª103 s and small values of generated currents in the space-charge region were due to initially low values of the surface state density Nssª(1 - 4)10-10cm-2eV-1. Nitridation of the inner sublayer SiO2 and formation of silicon oxynitride (NO) allow one to retain values of these parameters (variation not exceeding 20%) under ionizing radiation up to Daª107 rad, under both operating and non-operating conditions.



    11. Nanoscale properties of semiconductor surfaces under plane deformations

    V.E.Korsukov, V.E.Khartsiev, A.S.Lukyanenko

    Ioffe Physical-Technical Institute, Russian Academy of Sciences

    St.-Petersburg, Russia

    A study of the influence of deformation on surface properties of films is of general and essential interest both from fundamental and applied points of view, especially for nanoscale problems of semiconductor technology. Experimental and theoretical results on investigation of surface nanoscale properties and new reversible and non-reversible effects under planar deformation of semiconductor films are presented. The experiments were carried out on monocrystal Ge (111) and Si (111) films (both with thicknesses less than 0.02 cm) in the case of planar axial deformation with stretching load q less than 2.5 GPa in the observed central region. The studied surfaces were: (1) mechanically polished; (2) mechanically and chemically polished; (3) polished with subsequent ion beam treatment and experiments in ultrahigh vacuum. The following techniques were used: Scanning Tunneling Microscopy, Low Energy Electron Diffraction, Reflection High Electron Energy Diffraction, Inelastic Energy Loss Spectroscopy for Plasmons, and Auger Spectral Analysis. One can note the main peculiarities from the variety of novel experimental data: 1) high level stability of ultrathin oxide layers on Si(111) surfaces for used q with comparison oxide layers on Ge(111); 2) linear (reversible) and nonlinear (and non-reversible) changes of the energies of plasmon peaks with increasing q; 3) the formation of terraces with a scale of 5 atomic cells on Ge (111) non-oxided surfaces; 4) the appearance of q-tuning regular surface relief with scale 30 nm; and 5) a typical scale of 100 nm of lattice vertical disturbances.

    A qualitative explanation and theoretical analysis of the observed phenomena are based on atomic scale mechanisms and phenomenological considerations of the effects of deformation, diffusion and generation of point defects and dislocations. Such an approach leads to a set of nonlinear diffusion equations describing surface nanoscale stress-induced self-organized processes. It is possible to obtain analytical periodic solutions for a one-dimension model. Such a model analytically demonstrates the peculiarities of new experimentally observed structures: the formation of surface stress-induced relief; reversible transformation from one periodic relief to another with changing the loading; and threshold behaviour in the formation of structures. Surface stress-induced melting also can be considered in this model. One can expect that the new surface nanoscale properties and ultrafine structures are typical for semiconductor surface and interfaces.

    The work was supported by grants of Russian Fundamental Research Foundation: (95-02-04098-a), (96-01-01207-a).



    12. Heterojunction Al/SiO2/n-Si device as an Auger transistor

    Alexei S. Trifonov, Ekaterina A. Rogacheva,E.V.Ostroumova and A.A.Rogachev

    Ioffe Physical-Technical Institute

    St.-Petersburg, Russia

    This paper is devoted to an investigation of current instabilities in a silicon Auger transistor. For the first time we succeeded in fabricating an Auger transistor [1,2]. The main problem to realize an Auger transistor is the injection of high energy electrons. Another problem is to achieve a high frequency capability. Here it is very important to inject high energy electrons into the collector region in which the electric field is strong enough. These problems have been solved using an Al-SiO2- n-Si heterostructure with tunnel transparent intermediate oxide layer. In this case the role of the wide-gap emitter is played by a metal-oxide heterojunction. The base of the transistor is a hole charged layer induced by an electric field which exists in the oxide layer. The thickness of the base is approximately equal to the width of surface self-consistent quantum well for holes which is about ~10-7 cm; the well depth is equal to 0.7 eV or higher. In general the bigger is the voltage drop across the oxide, the higher is the energy of electrons injected into silicon. We investigated the S- and N- type instabilities in the collector current of Auger transistors in a circuit with a common emitter. The physical reason for instabilities is obvious. The electric current depends not only on value of the base current, but also on the time. It is mainly the dissolving time of the electron-hole clouds which are created by high energy electrons near the surface. There are two ways for the e-h cloud to be dissolved. The first is the e-h cloud is dissolved by the electric field of collector. The second - dissolving of an e-h cloud by the hole tunnel current to the metal. The former process leads to the N-type current -voltage collector characteristics, and the latter one leads to S-type collector characteristics.

    1. E.V.Ostroumova, A.A.Rogachev. Fiz.Tech.Poluprovodn., 1994, v.28 (8),pp.1411-1423, [ Semiconductors 28 (8), (1994)].

    2. E.V.Ostroumova, A.A.Rogachev. Proc. 26th European Solid State Device Research Conference (ESSDRC'96), pp.245-248, Edit. by G.Baccarani & M.Rudan , Edition FRONTIERES, France, 1996 .



    13. Hydrogen-induced donor states in the MOS system: Hole traps, slow states and interface states.

    J.M.M. de Nijs

    DIMES

    Delft University of Technology, The Netherlands

    We will present and discuss experimental data showing that atomic hydrogen in the Si/SiO2 system may be associated with an electronic donor state. Dependent on the location, the hydrogen-related state can be considered as a bulk oxide hole trap, a donor-type slow state or a donor-type interface state. For our experiments we used thermal oxides with an aluminum gate. Atomic hydrogen was released from water and hydroxyl groups in the MOS system by exposure to vacuum ultraviolet radiation.

    The radiation-induced interface states are donor-type. When generated in large numbers, these interface states are stable in the positive charge state, but in the neutral state they gradually disappear in time. Convincing evidence from substrate boron deactivation measurements will be presented, showing that these radiation-induced interface states are associated with the hydrogen atoms trapped at weakly bonding oxide network sites near the Si/SiO2 interface. The donor-type slow states can be attributed to hydrogen atoms trapped further away from the Si/SiO2 interface. Bulk oxide hole traps with small cross section were first reported by Stivers and Sah (J. Appl. Phys. 51, 6292 (1981)). We will show that the numbers of these hole traps increases with the hole injection rate. This observation cannot be explained when the hole trap is associated with a fixed oxide defect. In contrast, it supports the association with free hydrogen atoms subjected to generation and annihilation (dimerization) processes.



    14. Electrical characterization of ultrathin oxide and its interface

    V.Uritsky, A.Krylov, I.Uritskaya

    The problem of the production of silicon dioxide in ultrathin layers with a given thickness and a low concentration of electrically active centers is the key problem to be solved when ULICs as well as memory cells use floating gate or Si3N4 layers.

    In order to reproducibly make an SiO2 layer, in a thickness range of 4.5-7.5 nm with an accuracy of 0.2 nm the thermal oxidation of Si(100) surface was carried out at temperatures of 670, 700 or 750 _C in dry oxygen. At first a thick SiO2 layer (450 nm) was formed which was afterwards removed by HF-stripping and rinsing just before the final thermal oxidation.

    The resulting Si-SiO2 system was a constituent part of the following structure: low-doped (Nd=1015 cm-3) or high doped (Nd=8 x 1019 cm-3) monocrystalline silicon substrate - ultra thin SiO2 layer - undoped poly Si layer - Al layer.

    C-V characteristics in the range of 0.05-1.0 MHz of such structures allowed us to define the trap concentration at both interfaces, the charge centers concentration in the dioxide layer itself the C-V curves with various distributions of charge centers, and localized states on their interfaces were calculated.

    Using non-doped poly-Si (as compared to high-doped poly-Si) gives the following advantages: 1) It becomes possible to define the value and polarity of the effective charge Qfb in the dioxide layer near the SiO2-poly-Si interface. 2) The energy distribution of localized states in a wide energy range of the forbidden gap can be determined because the rise of the structure capacity is observed when both electrons and holes are accumulated. 3) This approach makes it also possible to calculate the centroid of the total charge in SiO2 without multilayer etching.

    We observed the difference in the charge polarity at the inner (near the substrate) and outer (near the gate) interfaces of the SiO2 layer and found the negative charge near the outer interface. The supposed mechanisms which caused the different types of defects near both interfaces of the ultrathin oxide layer are the subjects of debate.



    15. Radiation induced behavior in MOS devices

    V.V. Emelianov, G.I.Zebrev, O.V.Meshurov, A.V.Sogoyan, and R.G.Useinov

    Research Institute of Scientific Instruments, Moscow Region, Russia, and

    Specialized Electronic Systems, Moscow, Russia

    In this communication, original experimental results and a model of a post-radiation response of radiation-induced damage in the gate oxide of MOS structure as a function of temperature and oxide field strength are presented. MOS structures used in this study have been fabricated with a radiation tolerance process and have a thermally grown gate oxide. The irradiation was performed by Co(60) gamma and Sr(90)-Y(90) electron sources. The post-radiation behavior of MOS transistors and capacitors was investigated by sub-threshold slope current-voltage and high-frequency capacitance-voltage methods, respectively.

    Experimental results obtained are as follows. Post-radiation response consists of a relaxation process associated primarily with the so-called radiation-induced oxide charge. Radiation-induced positive charge annealing is reversible, and much of the charge can be restored under negative the gate bias after positive gate bias annealing. Both forward and reverse processes are enhanced by increasing temperature. The annealing rate depends on gate bias and is increasing at positive gate bias. At the same time, the dependence of the annealing rate vs. gate bias saturates at high biases. It is found that the interface-trap charge density in irradiated MOS devices has an anomalous but reproducible dependence on measurement temperature (the interface-trap charge density measured same slow voltage ramp rate increases by increasing the measurement temperature).

    These results suggest that the trapping of radiation-induced holes near the oxide-semiconductor interface is fundamentally connected with the formation of slow donor interface states. A mathematical model of reversible charge relaxation phenomena is proposed. The main point of the model is a postulate that oxide traps tend to restore the thermodynamic equilibrium with the semiconductor substrate. The energy levels of rechargeable traps are opposite to the band gap of Si. These traps are qualitatively similar to conventional (fast) interface traps. The difference is only in time scales of recharging. The exchange mechanism of charge carriers between the oxide traps and the semiconductor substrate includes tunneling and thermal-activation components of recharging process. Model predictions fits well to the obtained experimental results.



    16. Oxidation of Si(100) with atomic oxygen and subsequent STM and AFM studies of the system

    S. Moskowitz and T. Engel

    University of Washington

    Seattle, USA

    Most current work on the SiO2 film growth and the Si/SiO2 interface is done with thermally grown oxides. To gain new insights into this system, we use an atomic oxygen source to grow oxide layers. Using atomic oxygen allows us to grow oxides of varying thicknesses (up to 15 monolayers is readily accomplished) at room temperature. One can also grow oxides at elevated temperatures but with atomic oxygen as oxidant. Once grown oxide films will be studied with various scanning probe techniques. We will look at the initial stages of oxide growth with a UHV-STM and will look at the Si/SiO2 interface of thicker ( > 2 ML) oxides with AFM. Our goal is to see how the use of a more reactive species (atomic oxygen) and lower temperatures affect the oxide growth and structure.



    17. Reversible holographic material based on a silicon - vanadium doixide structure

    V. Galperin, S. Khanin, E. Shadrin, V. Vikhnin

    It is shown that the problem of the real time overwriting of holographic information can be solved through the use of the VO2 - a material with metal- insulator phase transition (MIPT), if the working temperature of devices based on these materials is stabilized inside of the hysteresis loop. Our optical memory device consists of a thin film Fabring-Perot interferometer (SiO2 - layer with 240 Å thickness and VO2 - layer with 600 Å thickness) on the surface of single crystals silicon (60 mm thickness and 20 mm diameter) controled by a fast - acting thermoelectric cooler. The successful combination of thermal properties of the Si, optical properties of the SiO2 and hysteresis properties of MIPT in VO2 let us to created the optical memory device with holographic efficiency 2% (l = 1.06) and operating frequency 25 Hz. A mathematical model of the partial hysteresis loops of the reflectivity of Si - VO2 - interferometers is constructed. An analysis involving the coercitive temperature distribution function (CTDF) is constructed, and on the base of this model it is shown that the MIPT in VO2 is a martensitic transition. A Raman-spectroscopy investigation of Si - VO2 - interferometers shows that in this case an uncommon mechanism of the martensitic ordening occurs that is connected with an interaction between Jahn-Teller ions V4+ through optical phonons field.